湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc

上传人:李司机 文档编号:1132688 上传时间:2022-06-30 格式:DOC 页数:5 大小:147.46KB
返回 下载 相关 举报
湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc_第1页
第1页 / 共5页
湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc_第2页
第2页 / 共5页
湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc_第3页
第3页 / 共5页
湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc_第4页
第4页 / 共5页
湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc》由会员分享,可在线阅读,更多相关《湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告.doc(5页珍藏版)》请在三一办公上搜索。

1、1.FPGA中ROM定制与读出实验一实验目的1、掌握FPGA中ROM的设置,作为只读存储器ROM的工作特性和配置方法。2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于ROM中;3、在初始化存储器编辑窗口编辑mif文件配置ROM;4、验证FPGA中ROM的功能。二实验原理ALTERA的FPGA中有许多可调用的模块库,可构成如rom、ram、fifo等存储器结构。CPU中的重要部件,如RAM、ROM可直接调用他们构成,因此在FPGA中利用嵌入式阵列块EAB可以构成各种结构的存储器,ROM是其中的一种。ROM有5组信号:地址信号address 、数据信号q 、时钟信号i

2、nclock、outclock、允许信号memenable,其参数都是可以设定的。由于ROM是只读存储器,所以它的数据口是单向的输出端口,ROM中的数据是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图2-1-1中的ROM有3组信号:inclk输入时钟脉冲;instruction31.0lpm_ROM的32位数据输出端;a4.0lpm_ROM的5位读出地址。实验中主要应掌握以下三方面的内容:(1)ROM的参数设置;(2)ROM中数据的写入,即FILE初始化文件的编写;(3)ROM的实际应用,在GW48_CP+实验台上的调试方法。三实验步骤(1)新建工程。工程名是scinstmem.q

3、pf。(2)用初始化存储器编辑窗口编辑ROM配置文件(文件名.mif)。这里预先给出后面将要用到的指令存储器初始化文件:scinstmem.mif 。如下图,scinstmem.mif中的数据是机器指令代码。scinstmem.mif中的数据(3)模块设计。用图形编辑,使用工具Mega Wizard Plug-In Manager,定制指令存储器rom宏功能块。设置地址总线宽度address和数据总线宽度q,分别为5位和32位,并添加输入输出引脚,如图设置和连接。ROM的结构图在设置rom数据参数选择项file的对应窗口中(下图),用键盘输入ROM配置文件的路径(scinstmem.mif),

4、然后设置在系统ROM/RAM读写允许,以便能对FPGA中的ROM在系统读写。设置在系统ROM/RAM读写允许(4)全程编译。(5)画波形文件并进行功能仿真。波形如上图。(6)引脚锁定。引脚分配如下表:Node NameLocationclkPIN_240a4PIN_6a3PIN_4a2PIN_3a1PIN_2a0PIN_1instruction31PIN_168instruction30PIN_167instruction29PIN_166instruction28PIN_165instruction27PIN_164instruction26PIN_163instruction25PIN_1

5、62instruction24PIN_161instruction23PIN_160instruction22PIN_159instruction21PIN_158instruction20PIN_141instruction19PIN_140instruction18PIN_139instruction17PIN_138instruction16PIN_137instruction15PIN_136instruction14PIN_135instruction13PIN_134instruction12PIN_133instruction11PIN_132instruction10PIN_1

6、28instruction9PIN_41instruction8PIN_21instruction7PIN_20instruction6PIN_19instruction5PIN_18instruction4PIN_17instruction3PIN_16instruction2PIN_15instruction1PIN_14instruction0PIN_13(7)全程编译。(8)编程下载。下载SOF文件至FPGA,改变ROM的地址a4.0,外加读脉冲,通过实验台上的数码管比较读出的数据是否与初始化数据(scinstmem.mif中的数据)一致。注,工程名是scinstmem.qpf,下载s

7、cinstmem.sof示例文件至实验台上的FPGA,选择实验电路模式仍为NO.0,32位数据输出由数码8至数码1显示,5位地址由键2、键1输入,键1负责低4位,地址锁存时钟CLK由键8控制,每一次上升沿,将地址锁入,数码管8/7/6/5/4/3/2/1将显示ROM中输出的数据。发光管8至1显示输入的5位地址值。(9)在系统读写。打开QuartusII的在系统存储模块读写工具In-system Momery_Content Editor,了解FPGA中ROM中的数据,并对其进行在系统写操作(下图)。在系统存储模块读写(10)实验数据记录实验数据如下表:a245717instruction200

8、50004AC820008C8900002005000380000172FPGA中RAM读写实验一实验目的1、了解FPGA中RAM模块ram的功能2、掌握ram的参数设置和使用方法3、掌握ram作为随机存储器RAM的工作特性和读写方法。二实验原理在FPGA中利用嵌入式阵列块EAB可以构成存储器,ram的结构如下图。从DATAIN7.0输入的低8位数据由e*t8to32.v进行零扩展为32位输入数据后,送入ram的左边data31.0输入,从右边out31.0输出,wren为读/写控制信号端。数据的写入:当输入数据和地址准备好以后,clk是地址锁存时钟,当信号上升沿到来时,地址被锁存,数据写入存

9、储单元。数据的读出:从address4.0输入存储单元地址,在clk信号上升沿到来时,该单元数据从out31.0输出。wren读/写控制端,低电平时进行读操作,高电平时进行写操作;clk读/写时钟脉冲;DATAIN7.0 低8位数据输入端;data31.0RAM的32位数据输入端;address4.0RAM的读出和写入地址;out31.0RAM的32位数据输出端。lpm_ram_dp实验电路图三实验步骤(1)RAM定制与ROM基本相同,实验步骤也类似。按图输入电路图,同样使用工具Mega Wizard Plug-In Manager。设置地址总线宽度address和数据总线宽度q,分别为5位和

10、32位,并进行编译、仿真、引脚锁定、FPGA配置。(2)注意,RAM也能加入初始化文件scdatamem.mif (数据存储器的初始化文件),注意此文件加入的路径表达和文件表达(下图): scdatamem.mif ,(后缀mif要小写);同时择在系统读写RAM功能,RAM的ID名取为:ram2。RAM加入初始化文件和选择在系统读写RAM功能(3)波形仿真波形仿真结果如下:(4)引脚分配引脚分配图下表:Node NameLocationaddress4PIN_6address3PIN_4address2PIN_3address1PIN_2address0PIN_1clkPIN_169DATAI

11、N7PIN_240DATAIN6PIN_239DATAIN5PIN_238DATAIN4PIN_237DATAIN3PIN_236DATAIN2PIN_235DATAIN1PIN_234DATAIN0PIN_233out15PIN_136out14PIN_135out13PIN_134out12PIN_133out11PIN_132out10PIN_128out9PIN_41out8PIN_21out7PIN_20out6PIN_19out5PIN_18out4PIN_17out3PIN_16out2PIN_15out1PIN_14out0PIN_13wrenPIN_173(5)通过键1、键2

12、输入RAM的低8位数据(选择实验电路模式1),键3、键4输入存储器的5位地址。键8控制读/写允许,低电平时读允许,高电平时写允许;键7(CLK0)产生读/写时钟脉冲,即生成写地址锁存脉冲,对ram进行写/读操作。注,工程名是scdatamem.qpf,下载scdatamem.sof至实验台上的FPGA,选择实验电路模式为NO.1,按以上方式首先进行验证实验。首先控制读出初始化数据,与载入的初始化文件scdatamem.mif中的数据进行比较,然后控制写入一些数据,再读出比较。使用在系统读写RAM的工具对其中的数据进行读写操作(下图),设置成连续读模式,将在系统读写工具窗口的数据与实验箱上数码管上显示的数据对照起来看。使用在系统读写工具对RAM中的数据进行读写操作(6)实验数据实验数据如下表:wren101010address113443DATAIN121224242323out0050121224240000232324243实验心得这次实验我掌握ROM与RAM存储器的设置,作为只读存储器ROM和随机存取存储器RAM的工作特性和配置方法。对存储器的工作原理和解后也有了进一步的认识,为以后的学习和试验打下了坚实的基础。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号