2输入大数据选择器mux2集成电路课设资料报告材料.doc

上传人:李司机 文档编号:1149485 上传时间:2022-07-05 格式:DOC 页数:13 大小:523KB
返回 下载 相关 举报
2输入大数据选择器mux2集成电路课设资料报告材料.doc_第1页
第1页 / 共13页
2输入大数据选择器mux2集成电路课设资料报告材料.doc_第2页
第2页 / 共13页
2输入大数据选择器mux2集成电路课设资料报告材料.doc_第3页
第3页 / 共13页
2输入大数据选择器mux2集成电路课设资料报告材料.doc_第4页
第4页 / 共13页
2输入大数据选择器mux2集成电路课设资料报告材料.doc_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《2输入大数据选择器mux2集成电路课设资料报告材料.doc》由会员分享,可在线阅读,更多相关《2输入大数据选择器mux2集成电路课设资料报告材料.doc(13页珍藏版)》请在三一办公上搜索。

1、目录1.绪论22.软件简介3简介3简介33.二输入多路选择器电路设计与仿真4数据选择器原理4电路原理图的绘制5电路图仿真64.集成电路版图设计7数字电路根本单元版图设计7反相器版图设计7与非门版图设计8整体版图设计9设计规如此的验证与结果95.总结10参考文献11摘要I C“集成电路产业是全球高新技术产业的前沿与核心,是最具活力和挑战性的战略产业。自2000年来,在国家政策的大力支持下,我国集成电路产业得到了长足的开展,而作为集成电路产业最前沿的设计业更是呈现出“百花齐放的繁荣景象。本文主要介绍了数据选择器的版图设计根本方法,实现了版图设计的根本要求。关键词:版图,数据选择器,Orcad1 绪

2、论集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。I C“集成电路产业是全球高新技术产业的前沿与核心,是最具活力和挑战性的战略产业。自2000年来,在国家政策的大力支持下,我国集成电路产业得到了长足的开展,而作为集成电路产业最前沿的设计业更是呈现出“百花齐放的繁荣景象,作为产业命脉的IC设计人才,在IC产业最集中的长三角地区也仅仅只有几千人。所以拥有一定工作经验的设计工程师,据国知名猎头公司烽火猎聘公司数据显示ic已成为人才猎头公司争相角逐的“宠儿。在整个设计过程中,版图la

3、yout设计或者称作物理设计physical design是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。IC版图设计是指将前端设计产生的门级网表通过EDA设计工具,根据产品前段设计线路或文件要求,按照工艺设计规如此,进展布局布线和进展物理验证并最终产生供制造用的GDSII数据的过程。其主要工作职责有:芯片物理结构分析、逻辑分析、建立后端设计流程、版图布局布线、版图编辑、版图物理验证、联络代工厂并提交生产数据。作为连接设计与制造的桥梁,合格的版图设计人员既要懂得IC设计、版图设计方面的专业知识,还要熟悉制程厂的工作流程、制程原理等相关知识

4、。2 软件简介122.1 Cadence简介Cadence Design Systems是一个专门从事电子设计自动化EDA的软件公司,由SDA Systems和ECAD两家公司于1988年兼并而成。是全球最大的电子设计技术(Electronic Design Technologies)、程序方案服务和设计服务供给商。其产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合与布局布线,模拟、混合信号与射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。包括原理图输入、生成、模拟数字/混合电路仿真,fpga设计,pcb编辑和自动布局布线mcm电路设计、高速pcb版

5、图的设计仿真。Cadence Allegro系统互连平台能够跨集成电路、封装和PCB协同设计高性能互连。应用平台的协同设计方法,工程师可以迅速优化I/O缓冲器之间和跨集成电路、封装和PCB的系统互联。该方法能防止硬件返工并降低硬件本钱和缩短设计周期。2.2 L-edit简介Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国应用广泛,具有很高知名度。L

6、-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以与最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规如此检查器DRC、组件特性提取器Device Extractor、设计布局与电路netlist的比拟器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit

7、 Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、准确的设计系统。3 二输入多路选择器电路设计与仿真33.1 数据选择器原理在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号,其工作原理如如下图所示:图3-1数据选择器原理图2输入多路选择器Mux2的电路中A、B分别为两路输入端口,Sel为数据选择端,Out为数据输出端。它的逻辑功能是当Sel=0时,选择输入A通过,Y=A;当Sel=1时,选择输入B通过,Y=B。2输入多路选择器有三个与

8、非门nand2和一个反相器构成。输出信号,其工作原理如如下图所示:图3-2数据选择器逻辑电路图3.2 电路原理图的绘制电路图的绘制采用Cadence软件进展。首先在windows系统中打开Capture CIS软件以进入Capture的工作环境,然后在软件菜单栏中选择filenewProject新建一个项目,如如下图所示:图3-3软件新建项目在新建项目的原理图编辑窗口中,执行P1ace/Part命令,在弹出窗口 “Libraries列表框中选择所需元件,移到适宜的位置按鼠标左键放置,完成后按ESC键或鼠标右键点end mode以完毕元件放置。调整元件摆放位置,利用快捷键“w对各个元件进展电气连

9、接,将各个元件按设计要求进展连接。连接电路图如下:图3-4整体电路图3.3 电路图仿真二选一数据选择器逻辑表达式为:Y=SA+SB根据逻辑表达式所列真值表如下所示:图3-5数据选择器真值表在电路图绘制完毕后,首先执行PSpice/Create Netlist命令建立电路网表;然后执行PSpice/New Simulation Profile命令,在弹出窗口中进展仿真参数类型设置;最后执行PSpice/Markers/Voltage Level命令,放置仪器探头进展测量仿真,发现仿真结果和理论相符合。仿真结果如如下图:图3-4整体电路仿真结果4 集成电路版图设计44.1 CMOS数字电路根本单元

10、版图设计4.1.1 反相器版图设计图4-1cmos反相器图4-2传输特性曲线当输入电压为高时,PMOS因其栅压栅压等于0而截止,而NMOS导通,此时输出电压为低电平而接近0相反,当输入电压为低时,NMOS和PMOS管分别关断和导通。产生高电平输出电压。因此,不论那种逻辑状态,串联在高电平和地之间的这两个晶体管中总有一个处于导通状态另一个处于截止状态。图4-3非门版图由于非门由一个PMOS和一个CMOS串联而成,因此设计版图的时候可以把两个MOS串联在一起,以减少图层面积,由于两个MOS的栅极是相连的,故可以直接以poly图层将两个MOS馆相连接。4.1.2 与非门版图设计2输入数据选择器的另外

11、一个主要组成局部是与非门,有两个输入和一个输出。如果输入为1和0,如此输出为1;如果输入为0和0,如此输出为1。与非门如此是当输入端中有1个或1个以上是低电平时,输出为高电平;只有所有输入是高电平时,输出才是低电平,如下图是与非门的版图设计。图4-4与非门原理图从电路图可以看出,与非门是由两个PMOS并联再和两个NMOS串联而成的。因此在进展版图设计时,可以把两个PMOS的ploy图层画在同一个有源区里面。然后通过ploy图层把PMOS和NMOS连接起来。图4-4与非门版图4.2 整体版图设计图4-5数据选择器电路由上述的电路原理图可以看出,一个2输入数据选择器由一个非门和三个与非门组成,因此

12、在绘制版图的时候可以把设计的非门与与非门版图连接一起即可,整体版图设计如如下图所示:图4-62输入数据选择器版图4.3 设计规如此的验证与结果设计规如此的验证是版图与具体工艺的接口,因此就显得尤为重要,可以进展设计规如此验证DRC。打开要验证单元的版图界面,点击FILE下的DRACULADRC,弹出在菜单栏上,在DRC菜单下的SETUP中,给出错误文件的路径,即可将错误报告与Virtuoso的图形界面结合起来,根据错误层的提示,在图中直接修改即可。修改后再更新编译规如此文件,重复进展DRC验证,直至版图完全通过DRC验证。5 总结这次课程设计的主要容是集成电路版图设计,第一次尝试在电脑上进展版

13、图的设计,有很多地方都不了解,都要通过自己对软件的学习才能顺利的进展实验。在选取了2输入同或门电路的同时,我开始了版图设计,刚开始时我完全不知怎么着手画版图,于是我通过查找图书馆的资料,学习Tanner集成电路设计方法,并从网上找了一些版图设计的实用教程,渐渐地我开始掌握了画版图的技巧,经过半天的努力,我把各个模块的版图画好了,经过几天的努力终于把整个芯片的版图画完,并最终通过了DRC检查。我觉得这次集成电路课程设计是一个很好的锻炼机会,除了熟练地掌握了Tanner软件的使用,还巩固了我的知识,并且我掌握了画版图的方法,而且通过查阅资料,还拓展了我的知识视野,加强了我查阅资料的能力。总的来说,实验简单,过程是最难的。不管结果如何,在实验的过程中至少能学到很多东西,虽然这次实验我们顺利完成,但是要更深入的问题我们还是有很多不明白的,学无止境。参考文献1廖裕评,陆瑞强Tanner Pro集成电路设计科学,2007年2朱正涌半导体集成电路清华大学,2009年3王志功集成电路设计电子工业,2008年4曾庆贵,玉稀集成电路版图设计教程科学技术,2012年5陆学斌集成电路版图设计大学,2012年本科生课程设计成绩评定表姓 名性 别 专业、班级课程设计题目:二输入数据选择器版图设计课程设计辩论或质疑记录:成绩评定依据:最终评定成绩以优、良、中、与格、不与格评定指导教师签字:年 月 日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号