EDA八段动态数码管显示设计实验报告材料.doc

上传人:李司机 文档编号:1163853 上传时间:2022-07-11 格式:DOC 页数:11 大小:122.50KB
返回 下载 相关 举报
EDA八段动态数码管显示设计实验报告材料.doc_第1页
第1页 / 共11页
EDA八段动态数码管显示设计实验报告材料.doc_第2页
第2页 / 共11页
EDA八段动态数码管显示设计实验报告材料.doc_第3页
第3页 / 共11页
EDA八段动态数码管显示设计实验报告材料.doc_第4页
第4页 / 共11页
EDA八段动态数码管显示设计实验报告材料.doc_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《EDA八段动态数码管显示设计实验报告材料.doc》由会员分享,可在线阅读,更多相关《EDA八段动态数码管显示设计实验报告材料.doc(11页珍藏版)》请在三一办公上搜索。

1、word第十周动态数码管显示设计报告 姓 名: 学 号: 专 业: 班 级: 指导教师:目录EDA技术与应用课程设计任务书3实验目的5设计要求5实验设备5扫描原理5设计任务6实验程序6时序仿真波形图7仿真结果8模拟电路8设计总结8课程设计评分表9EDA技术与应用课程设计任务书一、设计题目动态数码管显示设计二、设计主要内容本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL根本逻辑电路的综合设计应用。掌握VHDL语言的语法规X,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理与设计方法。设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。利

2、用实验室设备完成系统设计并进展运行调试。1、具体设计内容如下:1静止显示学号;2动态循环显示学号。2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。三、原始资料1、LED显示模块原理LED有段码和位码之分,所谓段码就是让LED显示出“8.的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是

3、依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。多个数码管动态扫描显示,是将所有数码管的一样段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。2、系统结构图信号名与芯片引脚对照表硬件资源元件引脚EP3C引脚序号电路使用说明LED数码显示A133该局部电路为固定电路。使用LED数码显示时请按照器件引脚分配表进展引脚分配后再下载到芯片中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、课程设计使用设备1EDA与SOPC综合实验平

4、台;2导线假如干;3PC机;4Quartus II开发工具软件。四、要求的设计成果1根据控制要求设计硬件电路原理图。2编写用于系统仿真的VHDL源程序。3系统仿真与验证结果。4编写EDA技术与应用课程设计报告,课程设计报告内容包括: 设计方案、课程设计过程和设计思想、方法、原理。 画出系统的原理图。 VHDL语言程序与仿真波形。 参考资料、参考书与参考手册。 其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题与解决方法、对课程设计的认识和建议等。 可编程控制器技术课程设计报告可以手写,也可以用电脑编排打印,报告格式按照华中科技大学武昌分校课程设计管理方法执行。课程设计报告要求内容正确

5、完整,图表清晰,表示简明,语句通顺,字数不得少于2000汉字。课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页实物照片贴在A4复印纸上、成绩评定表的顺序装订。一、实验目的 1 了解实验箱中8 位七段数码管显示模块的工作原理。2 熟悉VHDL 硬件描述语言与设计专用数字集成电路的自顶向下的设计思想。3 掌握利用CPLD/FPGA 设计8 位七段数码管扫描显示驱动电路的方法。二、设计要求1分析设计要求,明确性能指标。必须仔细分析课题要求,性能,指标与应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 2确定合理的总体方案,对各种方案进展比拟,以电路的先进性,结构的繁简,本钱的上下与

6、制作的难易等方面作综合比拟,并考虑器件的来源,敲定可行方案。 3设计各单元电路。总体方案化整为零,分解成假如干自行同或单元电路,逐个设计。 4组成系统。在一定幅面的图纸上合理布局,通常是按照信号的流向,采用左进右出的规律摆放各电路,并标出表的说明。 技术指标: 1发光强度比 由于数码管各段在同样的驱动电压时,各段正向电流不一样,所以各段发光强度不同,所有段的发光强度值中最大值与最小值之比为发光强度比。比值可以再1.5-2.3间,最大不能超过2.5. 2脉冲正向电流 假如笔画显示器每段典型正向直流工作电流为A,如此在脉冲下,正向电流可以远大于A。脉冲占空比越小,脉冲正向电流可以越大。三、实验设备

7、 1 计算机配置为:P4 CPU 128M 内存; 2 实验软件 Quartus四、扫描原理为了减少8 位显示信号的接口连接线,实验箱中的数码显示采用扫描显示工作模式。即8 位数码管的七段译码输入a,b,c,d,e,f,g 是并联在一起的,而每一个数码管是通过一个 位选择sel2.0来选定的。sel 与数码管之间是一3-8 译码的关系,即sel 为“000 时,选中第一个数码管,sel 为“111 时,选中第八个数码管。五、设计任务 本实验要求在课题X围内,自行设计出程序,并模拟出电路图,以实现在8 个数码管上轮流显示字符0F 的功能。六、实验程序library ieee;use ieee.s

8、td_logic_1164.all;use ieee.std_logic_unsigned.all;entity scan_led isport(clk:in std_logic;sg:out std_logic_vector(6 downto 0);bt:out std_logic_vector(7 downto 0);end;architecture one of scan_led is signal t8:std_logic_vector(2 downto 0);signal a: integer range 0 to 15; begin p1:process(t8) begin cas

9、e t8 is when000=bt=00000001;Abt=00000010;Abt=00000100;Abt=00001000;Abt=00010000;Abt=00100000;Abt=01000000;Abt=10000000;Anull; end case; end process p1;p2:process(clk)begin if clkevent and clk=1 then t8sgsgsgsgsgsgsgsgsgsgsgsgsgsgsgsg=0000110;end case;end process p3;end;七、时序仿真波形图(1) 打开波形编辑器。选择“file菜单

10、中的new项,在“new窗口中选择other files“中的vector waveform file项,打开空白编辑器。(2) 设置时间仿真区域。将仿真时间设置在一个比拟合理的时间区域。选择“edit菜单中的“end time.项,在弹出的窗口中的“time栏处输入“100,单位选择“ms,将整个仿真区域的时间设为10ms,单击“ok按钮,完毕设置。(3) 编辑输入波形。单击选中波形编辑窗口的时钟信号名“clk1,使之变成蓝色条,再单击左列的时钟设置键,打开窗口,将“clk的周期设定为0.5ms,“phase相位设为默认为零,“duty cycle占空比设为默认值50%。(4) 设定数据模式

11、。单击信号“light旁边的“+号,可以打开信号的各个分量,查看信号的每一位。如果双击“+号左边的信号标记,可以打开该信号格式设置的对话框。(5) 波形文件存盘。选择“file菜单下的“save命令,或直接单击工具栏上的按钮即可。(6) 仿真器参数设计。选择“assignment菜单下的“setting。项,在“setting窗口中左侧“category栏中选择“simulator项,打开窗口,在“siumlation mode项目下选择仿真激励文件“light.vwf。在“simulation period栏中选择“run simulation until all vector stimul

12、i are use即全程仿真;确认选中“simulation coverage reporting;毛刺检测“glitch detection为1us;功耗估算“generate signal activity file。把所有的参数都设定好了之后,就可以观察相关的波形了。八、仿真结果九、模拟电路十、设计总结这次课程设计上网查的资料比拟多,所以我觉得这次设计做起来比拟顺手,根本上没遇到很多自己很难解决的问题。但是这次试验收获也不少,首先,由于要自己查资料在自己结合着写程序,所以要对程序要一个比拟深的了解,根本上每一句都要弄懂,就是因为这我在程序上花了不少时间,把程序弄得很懂,这在一方面让我复习了一下EDA的知识,也加强我对EDA的学习。然后就是这次设计用的是Quartus这个软件,这个软件我觉得就是英文版的很难学习,应该经常使用才行,正好这次试验需要用这个软件,让我对英文软件有了起码的信心。通过这次设计,对EDA这个课程有太大的了解!11 / 11

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号