射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc

上传人:李司机 文档编号:1180602 上传时间:2022-07-14 格式:DOC 页数:60 大小:456.26KB
返回 下载 相关 举报
射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc_第1页
第1页 / 共60页
射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc_第2页
第2页 / 共60页
射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc_第3页
第3页 / 共60页
射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc_第4页
第4页 / 共60页
射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc_第5页
第5页 / 共60页
点击查看更多>>
资源描述

《射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc》由会员分享,可在线阅读,更多相关《射频和微波工程实践入门-第1章用HFSS仿真微波传输线和元件.doc(60页珍藏版)》请在三一办公上搜索。

1、第一章用HFSS仿真微波传输线和元件01.1 Ansoft HFSS概述01.1.1 HFSS简介01.1.2 HFSS的应用领域11.2 HFSS软件的求解原理11.3 HFSS的基本操作介绍31.3.1 HFSS的操作界面和菜单功能介绍31.3.2 HFSS仿真分析基本步骤41.3.3 HFSS的建模操作51.4 HFSS设计实例1矩形波导的设计101.4.1 工程设置101.4.2 建立矩形波导模型111.4.3 设置边界条件121.4.4 设置激励源wave port141.4.5 设置求解频率151.4.6 计算及后处理151.4.7 添加电抗膜片171.5 HFSS设计实例2E-T

2、型波导的设计231.5.1 初始设置231.5.2 建立三维模型241.5.3 分析设置271.5.4 保存工程271.5.5 分析271.5.6 生成报告281.6 HFSS设计实例3H-T型波导的设计311.6.1 创建工程311.6.2 创建模型321.6.3 仿真求解设置361.6.4 比较结果371.7 HFSS设计实例4双T型波导的设计391.7.1 初始设置391.7.2 建立三维模型401.7.3 分析设置431.7.4 保存工程441.7.5 分析441.7.6 生成报告451.8 HFSS设计实例5魔T型波导的设计471.8.1 建立匹配膜片与金属杆481.8.2 分析设置

3、481.9 HFSS设计实例6圆波导的设计521.9.1 初始设置521.9.2 建立三维模型531.9.3 分析设置551.9.4 保存工程561.9.5 分析561.9.6 生成报告571.10 HFSS设计实例7同轴线的设计641.10.1 初始设置641.10.2 建立三维模型651.10.3 分析设置681.10.4 保存工程691.10.5 分析691.10.6 生成报告701.11 HFSS设计实例8微带线的设计771.11.1 初始设置771.11.2 建立三维模型781.11.3 建立波导端口激励791.11.4 分析设置801.11.5 保存工程801.11.6 分析811

4、.11.7 生成报告821.11.8 产生场覆盖图821.12 HFSS设计实例9单极子天线的设计851.12.1 创建工程851.12.2 创建模型851.12.3 设置变量891.12.4 设置模型材料和边界参数901.12.5 设置求解频率和扫描范围931.12.6 设置辐射场931.12.7 确认设置并分析931.12.8 显示结果941.13 HFSS设计实例10方形切角圆极化贴片天线的设计981.13.1 设计原理及基本公式991.13.2 创建工程和运行环境设定991.13.3 创建模型991.13.4 求解设置1001.13.5 有效性验证和仿真1001.13.6 输出结果10

5、01.13.7 设置变量与参数建模1021.13.8 创建参数分析并求解1021.13.9 优化求解1041.13.10 输出优化后的结果1051.14 参考文献108第一章 用HFSS仿真微波传输线和元件1.1 Ansoft HFSS概述 HFSS简介Ansoft HFSS全称High Frequency Structure Simulator, 高频结构仿真器是Ansoft公司推出的基于电磁场有限元方法FEM的分析微波工程问题的三维电磁仿真软件,可以对任意的三维模型进行全波分析求解,先进的材料类型,边界条件及求解技术,使其以无以伦比的仿真精度和可靠性,快捷的仿真速度,方便易用的操作界面,稳

6、定成熟的自适应网格剖分技术使其成为高频结构设计的首选工具和行业标准,已经广泛地应用于航空、航天、电子、半导体、计算机、通信等多个领域,帮助工程师们高效地设计各种高频结构,包括:射频和微波部件、天线和天线阵及天线罩,高速互连结构、电真空器件,研究目标特性和系统/部件的电磁兼容/电磁干扰特性,从而降低设计成本,减少设计周期,增强竞争力。美国Ansoft公司的HFSS软件是基于电磁场有限元算法,其原理是将天线分割成若干微小单元进行求解拟合,尤其对电小天线在窄带范围内仿真效果甚佳,缺点是求解过程复杂,仿真时间较长,对电长度较大的电大天线仿真时间会更长,对宽频带天线的仿真需要将频率化分成几段进行仿真。因

7、此,Ansoft HFSS软件是电长度和频率的精细仿真软件,多用于闭场环境仿真。相比之下,德国的CST软件是基于电磁场有限积分法,适用于电长度较大的电大天线的仿真,特别适用于宽频带天线的仿真,解算时间远小于HFSS软件,缺点是解算误差大于HFSS软件,因此,CST软件是电大天线及宽频带的粗况仿真,多用于开场环境仿真。安捷伦公司的ADS电磁仿真软件是基于矩量法解算的,将远场电磁场作平均处理,适用于电磁场较均匀的电磁环境仿真。由于没有严格设置辐射边界条件,适用于PCB板级及微带线的电磁仿真,对天线的远场仿真效果较差。对于天线窄带仿真可将HFSS软件和CST软件两者仿真结果对比进行取舍。对于天线宽带

8、仿真可先用CST软件进行初始粗况仿真定位,再用HFSS软件进行精细解算仿真。HFSS的应用领域天线1、面天线:贴片天线、喇叭天线、螺旋天线2、波导:圆形/矩形波导、喇叭3、线天线:偶极子天线、螺旋线天线4、天线阵列:有限阵列天线阵、频率选择表面FSS、光子带隙PBG5、雷达散射截面RCS微波1、滤波器:腔体滤波器、微带滤波器、介质滤波器2、EMC/EMI:屏蔽罩、近场远场辐射3、连接器:同轴连接器、SFP/XFP、底板、过渡4、波导:波导滤波器、波导谐振器、波导连接器5、Silicon/GaSa:螺旋电感器、变压器信号完整性分析1、Package Modeling BGA, QFP, Flip

9、-Chip2、PCB板:功率/接地面、网格接地,底板3、Connectors SFP/XFP, VHDM, GBX, 同轴通过HFSS可以获取的信息1、矩阵数据:S、Y、Z参数和VSWR匹配2、相关的场:2D/3D近场远场图电场、磁场、电流体/面电流、功率、SAR辐射3、某空间内的场求解求解类型:Full-wave求解原理:3D有限元法FEM网格类型:等角的网格单元:正四面体网格剖分形式:自适应网格4、激励:端口求解求解原理:2D-FEM形式:自适应网格边界条件1.2HFSS软件的求解原理总体来说,HFSS软件将所要求解的微波问题等效为计算N端口网络的S矩阵,具体步骤如下:l 将结构划分为有限

10、元网格自适应网格剖分l 在每一个激励端口处计算与端口具有相同截面的传输线所支持的模式l 假设每次激励一个模式,计算结构内全部电磁场模式l 由得到的反射量和传输量计算广义S矩阵图1.2.1 求解流程图自适应网格剖分是在误差大的区域内对网格多次迭代细化的求解过程,利用网格剖分结果来计算在求解频率激励下存在于结构内部的电磁场。初始网格是基于单频波长进行的粗剖分,然后进行自适应分析,利用粗剖分对象计算的有限元解来估计在问题域中的哪些区域其精确解会有很大的误差收敛性判断,再对这些区域的四面体网格进行细化进一步迭代,并产生新的解,重新计算误差,重复迭代过程求解误差分析收敛性判断自适应细化网格直到满足收敛标

11、准或达到最大迭代步数。如果正在进行扫频,则对其他频点求解问题不再进一步细化网格。图1.2.2自适应网格总体与局部1.3 HFSS的基本操作介绍1.3.1HFSS的操作界面和菜单功能介绍Ansoft HFSS的界面主要包括:菜单栏Menu bar、工具栏Toolbars、工程管理Project Manage窗口、状态栏Status bar、属性窗口Properties window、进度窗口Progress window、信息管理Message Manage窗口和3D模型窗口3D Modeler Window。图1.3.1 Ansoft HFSS的操作界面菜单栏Menu bar由文件、编辑、视图

12、、工程、绘图、3D模型、HFSS、工具和帮助等下拉式菜单组成。工具栏Tool bar对应菜单中常用的各种命令,可以快速方便的执行各种命令。工程管理Project Manage窗口显示所以打开的HFSS工程的详细信息,包括边界、激励、剖分操作、分析、参数优化、结果、端口场显示、场覆盖图和辐射等。状态栏Status bar位于HFSS界面底部,显示当前执行命令的信息。属性窗口Properties window显示在工程树、历史树和3D模型窗口中所选条目的特性或属性。进度窗口Progress window监视运行进度,以图像方式表示进度完成比例。信息管理Message Manage窗口显示工程设置的

13、错误信息和分析进度信息。3D模型窗口3D Modeler Window是创建几何模型的区域,包括模型视图区域和历史树记录创建模型的过程。 HFSS仿真分析基本步骤1、创建工程及运行环境Create project and set operating environment:基本的包括创建新工程、插入新设计、修改工程和设计名、设置绘图单位和求解类型等;*2、创建模型Draw a geometric model:通过使用各种绘图命令,建立与实际模型对应的仿真模型结构,并设置求解空间和边界条件各种端口激励和辐射边界等;3、确定模型设计参数的变量Assign variables to a models

14、 design parameters:建立变量来代替模型中的位置和尺寸参数,这有利于调整模型的结构,建立起模型各个参数之间的联系,也是进行后期参数分析、优化的必要前提;*4、求解设置Specify solution settings for a design:求解设置包括指定求解的频率,求解的迭代步数和求解结束的条件,另外如果要考察模型随频率变化的特性,还需要设置相应的扫频项;5、设计验证Validate a designs setup:经过上面的步骤,一个完整的分析模型就建立起来了,不过在分析之前我们应该检查模型的正确性与完整性,快捷工具可以帮助我们完成模型的检测,并给出错误提示,以便我们进

15、行修改更正;*6、运行HFSS仿真Run an HFSS simulation:点击工具栏中的图标进行仿真,仿真的时间由模型的大小、计算机性能以及求解项的设置有关,这过程中我们可以多线程工作;*7、创建图表结果Create report results:仿真结束,我们要查看模型的各种性能参数,HFSS为我们提供了丰富的参数类别和图标形式,可以创建不同的图表来描述仿真的结果;8、参数扫描与变量优化Parametric sweep and optimization:当我们要考虑不同参数变量对天线性能的影响以及需要综合优化天线性能时,HFSS也给我们提供了参数扫描和优化的功能。注:带*号的是必要步骤

16、1.3.3HFSS的建模操作1、启动软件2、设置求解模式在菜单栏中点击HFSS,选择Solution Type.会出现下面的对话框:图 设置求解模式1激励求解:用HFSS计算无源、高频结构的S参数时,可选择激励求解类型,例如微带、波导、传输线结构。S矩阵解被表示为波导的入射功率及反射波功率。2激励终端求解:用HFSS计算多导体传输线端口的S参数时,可以选择激励终端求解类型。S矩阵解将由终端电压和电流来描述。3本征模求解:计算结构的本征模或谐振时,可选择本征模求解类型。本征模解法可算出结构的谐振频率及在这些谐振频率处对应的场,也可计算谐振腔体的无载Q值。3、设置单位在菜单栏里点击3D Model

17、er选择Unites:图 设置单位4、绘制图形一维的有直线、折线、曲线。也可以创建由曲线方程画出所需要的图形。二维的有:图 绘制二维图形在这里也能画出由某个曲面方程所要求的面。三维最基本的有:图绘制三维图形5、图形的变换当然,实际需要中所遇到的不止限于以上模型,我们也可以通过很多变换的手法来画出我们需要的3D图形。单击菜单栏的EditArrangeMove Translates the structure along a vectorRotate Rotates the shape around a coordinate axis by an angleMirror Mirrors the s

18、hape around a specified planeDuplicateAlong Lines Create multiple copies of an object along a vectorAround Axis Create multiple copies of an object rotated by a fixedangle around the x, y, or z axisMirror - Mirrors the shape around a specified plane and creates aduplicate6图形的布尔操作几种布尔操作:Unite combine

19、 multiple primitives图合并操作Subtract remove part of a primitive from another图相减操作Split break primitives into multiple parts操作前 操作后图分裂操作Intersect keep only the parts of primitives that overlap图相交操作Sweep turn a 2D primitive into a solid by sweeping: Along a Vector,Around an Axis, Along a Path操作前 操作后图Swee

20、p操作Connect connect 2D primitives. Use Cover Surfaces to turn theconnected object into a solid操作前 操作后图连接操作Section generate 2D cross-sections of a 3D object7、视角的变换用以下命令你在创建模型的过程中,可以随时改变视角Rotate The structure will be rotated around the coordinate systemPan The structure will be translated in the graphi

21、cal areaDynamic Zoom Moving the mouse upwards will increase the zoom factorwhile moving the mouse downwards will decrease the zoom factorZoom In/Out In this mode a rubber band rectangle will be defined bydragging the mouse. After releasing the mouse button the zoom factor willbe applied.图改变视图操作快捷方式快

22、捷键:ALT + Drag= RotateShift + Drag=PanALT + Shift + Drag= Dynamic Zoom另外,用鼠标在3D窗口中右点击也可以实现视角的转变。在模型创建完毕后,我们为了方便以后的调试,可以对模型设置变量并进行优化,对它的具体操作我们会在下面的仿真实例中详细讲解。1.4HFSS设计实例1矩形波导的设计1.4.1工程设置打开HFSS,出现新的工程窗口如图1.4.1。图1.4.1 HFSS工程窗口1设置求解类型Driven Modal模式激励。在菜单中点击HFSSSolution Type,出现Solution Type窗口,选择Driven Moda

23、l如图1.4.2,点击OK。图1.4.2 设置求解类型窗口2设置模型单位毫米在菜单栏中点击ModelerUnits,出现Set ModelUnits窗口,选择mm,点击OK如图1.4.3。图1.4.3 设置模型单位窗口3保存工程命名为waveguide点击工具栏中的,将工程名字设为waveguide。建立矩形波导模型1画长方体点击工具栏中的Drawbox画矩形波导的长方体模型,在屏幕右下角出现长方体顶点信息,输入顶点坐标如图1.4.4,按回车键;屏幕右下角出现长方体尺寸信息,输入如图1.4.5,按回车键结束画图过程。图1.4.4 长方体顶点坐标图1.4.5 长方体尺寸设置在屏幕右侧窗口显示画出

24、的长方体;点击工具,将长方体全部显示在窗口中。2设置长方体属性在屏幕中间模型列表中的Box1为画出的长方体如图1.4.6,双击Box1,出现Propoties:Project1窗口,将Name一栏的value由Box1改为waveguide。图1.4.6 设置长方体属性设置边界条件1选择波导的四个纵向面。通过Editselectfaces,将鼠标设置为选择面的状态如图1.4.7。通过按钮旋转功能以及ctrl键实现选择多个面,或者通过editselectby name如图1.4.8,结合ctrl键选中face10、11、12、9如图1.4.9。2将这四个面设置为理想导体边界。可以通过点击HFSS

25、BoundariesAssign Perfect E实现,或者点击鼠标右键Assign Boundary Perfect E如图1.4.10。图1.4.7 select faces界面图1.4.8 select by name界面图1.4.9 select face界面图1.4.10 设置Perfect E边界条件设置激励源wave port1选中波导的一个端口面垂直于z轴的平面。2点击HFSSExcitationsAssignWave port,或者点击鼠标右键assign excitationwave port如图1.4.11。3另外一个端口面执行同样的操作。图1.4.11 设置wave

26、port界面设置求解频率1在菜单栏中点击HFSSAnalysis SetupAdd Solution Setup2在求解设置窗口中,设置Solution Frequency:13Ghz,其它设为默认值计算及后处理在菜单栏中点击HFSSAnalyze all1画场分布图在菜单栏中点击HFSSFieldsPlot FieldsE,画出电场强度的幅度分布如图1.4.12。在Project Manager窗口中,选择dipoleHFSSDesign1Field Overlays,点击鼠标右键AnimateOK,可以演示电场强度幅度随着时间变化情况,观察理解电磁波从端口1向端口2传播的过程。图1.4.1

27、2波导中电场强度幅度分布2观察数据结果点击HFSSResultsSolution Data如图1.4.13,在Matrix Data项中可以查看S参数以及传播常数Gamma等参数如图1.4.14。图1.4.13 查看solution data界面图1.4.14 S参数及Gamma添加电抗膜片本例以bj-100为例,height=10.160mm,width=22.860mm,采用单模传输,主模频率范围8.2012.5Ghz,衰减频率9.84Ghz。1感性膜片如图所示,感性膜片跨接在波导窄边上。对主模H10,其磁场线是平行于宽边的闭合曲线,感性膜片的置入将改变波导内磁场的分布规律而产生电感效应,

28、相当于在传输线上并联一个感性电纳。设置感性膜片的间距为16mm。图 感性膜片结构图 S参数幅度随频率变化的曲线图 S参数图 场分布图2容性膜片如图所示,容性膜片跨接在波导宽边上。对主模H10,容性膜片可改变波导内的电场分布规律而产生电容效应,相当于在横截面处并接一个电容器。设置容性膜片的间距为4mm。图 容性膜片结构图 S参数幅度随频率变化的曲线图 S参数图 场分布图3谐振窗容性膜片和感性膜片组合形成谐振窗。谐振窗对某一固定频率产生谐振,即当工作频率等于谐振频率时,电磁波可以无反射地通过,其等效电路相当于并联谐振回路。设置感性膜片的间距为16mm,设置容性膜片的间距为4mm。图 谐振窗结构图

29、S参数幅度随频率变化的曲线图 S参数图 场分布图1.5 HFSS设计实例2E-T型波导的设计本例以bj-100为例,height=10.160mm,width=22.860mm,采用单模传输,主模频率范围8.2012.5Ghz,衰减频率9.84Ghz。初始设置一启动Ansoft HFSS点击微软的开始按钮,选择程序,然后选择Ansoft HFSS11程序组,点击HFSS11,进入Ansoft HFSS。1.设置工具选项注意:为了按照本例中概述的步骤,应核实一下工具选项已设置;2.选择菜单中的toolsoptionsHFSS Options3.HFSS选项窗口:1点击常规General标签a.建

30、立新边界时,使用数据登记项的向导即在boundary options中的Use wizards for data entry when creating new boundaries:勾上b.用几何形状复制边界duplicate boundaries with geometry:勾上2点击ok按钮。4.选择菜单中的工具toolsoptionsmodeler options5.3D modeler options:1点击operation标签自动覆盖闭合的多段线automatically cover closed polylines:勾上。2点击画图drawing标签编辑新建原始结构的属性edi

31、t propetry of new primitives:勾上。3点击ok按钮二打工一个新工程1.在窗口,点击标准工具栏中的新建图标,或者选择菜单中的filenew.2.或者从工程project菜单中选择insert HFSS design.三设置解决方案类型set solution type1.选择菜单中的HFSSsolution type2.解决方案类型窗口: 1选择模式驱动driven modal 2点击ok按钮。1.5.2建立三维模型一模型单位设置: 1选择菜单项:modelerunits 2选择模型单位:mm 3点击确定二选择缺省材料即模型内填充物用三维模型材料工具栏,选择真空即在右

32、上角的三创建顶臂1.建立顶臂:1选择菜单项:drawboxdraw 2选择起始位置软件右下角输入,绝对坐标:X:11.430 Y:-5.080 Z:-5.080 回车 3选择相对坐标:dX:-22.860 Dy:10.160 Dz:33.020 回车图 建立项臂2.重命名:从材料窗口选择属性平台; 1名字的命名类型:ARM 2点击确定3.适合观看的调整: 1选择菜单项:viewfir allactive viewview.或者ctrl+d键四创建臂2:1.选择菜单项:editselect all visableedit 或者ctrll+A2.选择菜单项:editduplicatearound

33、axisedit选择以x为轴,旋转90度,数目3.点击确定键4.适合观看的调整:选择菜单项:viewfit allactive view 或者ctrll+d五建立臂3:1.选择物体框:1选择物体臂1;2点击确定2.建立臂3和4; 1editduplicatearound axis 2以z为轴旋转180度,总数为二个,点击确定键六连接各个臂: 1.连接各个臂: 1选择菜单项:editselect all visible:或者按ctrl+A键 2选择菜单项:modelerbooleanunite图连接各个臂七建立波导端口激励1.选择端口面: 1选择菜单项:editselectfaces 2选择顶部

34、的面2.设计波端口激励: 1选择菜单项:HFSSEXCITATIONSASSIGNwave port 2波端口:general 名字:p1 点击next键 3波端口:models 点击next键;点击结束键 4以此类推,设置另外两个端口激励p2,p3.注意p2,p3的端口电场方向注:虽然将三个端口都设置为了激励,但是系统默认的是第一个激励端口有输入功率,其他端口无激励输入,如果需要设置,可在HFSSfieldsedit sources中修改设置,如果不设置激励的话,系统会默认端口为理想的电边界;注意:两个设置的wave port的电场方向可能不是一致的,会导致错误的结果,所以在设置完激励后需检

35、查一下他们的电场方向,如果不对或者不清楚就自己设定电场的积分线。具体步骤如下: 找到软件左边的project manager窗口,在树状结构中找到excitations,分别双击p1,p2,选择modes,点击integtation line下的项,选择new line,这时将鼠标移动到设计窗口中的p1,p2面上自行设置积分线方向,注意两个积分线应保持方向一致。1.5.3分析设置一建立分析设置: 1.建立一个分析设置: 1选择菜单项:HFSSANALYSIS SETUPADD SOLUTION SETUP 2.完成设置窗口:点击综合表 1设置频率:9.84GHZ 2设置最多步数:5 3设置步长

36、为0.02 3.点击确定键二增加一个频率扫描 1.选择菜单项:HFSSanalysis setupadd frequency sweep 2.选择设置:setup1; 3.点击确定键三编辑扫频窗口: 1.扫频类型:fast 2.扫频设置类型:linear count,起始频率8.20ghz,结束频率12.5ghz,计数1001次,选上保存场。 3.点击确定键1.5.4保存工程一保存工程: 1.在HFSS软件窗口选择菜单项filesave as 2.从save as 窗口键入文件名:hfss_magic_t 3.点击保存键1.5.5分析一模型确认 1.选择菜单项:HFSSValidation c

37、heck 2.点击关闭键。注意有任何错误和警告信息,请使用信息管理器二分析 1.选项菜单项:HFSSanalysis三求解数据 观看求解数据:选择菜单项:HFSSresultssolution data观看s矩阵值matix:点击图S矩阵和理论上ET的S矩阵基本一致。点击关闭键1.5.6生成报告一产生s参数图,和适应性传输1.注意报告在求解过程之前或者之中,一个实时的数据会呈现。2.产生报告:注意报告在求解过程之前或者之中,一个实时的数据会呈现1 产生报告:1) 选择菜单项:HFSSresultscreate model solution data report;2) 产生报告窗口:报告类型:

38、modal s parameters;显示类型:rectangula; 点击确定键2 描述窗口: 1) 求解:setup1:adaptive12) 点击x项,freq;种类:all3) 点击y项:种类:s parameter;量:s,s,s;单位:db:点击增添极限4) 点击完成键二产生s参数图 1.产生一个报告: 1菜单项:HFSS:Resultscreate report 2产生报告窗口:报告类型:modal s parameters;显示类型:rectangular;点击确定键 3描述窗口:求解:setup1:sweep1;范围:扫频;选择y项:类型s参数;量:s,s,s;单位:db;点

39、击add trace键 4点击完成键图S参数图三产生场覆盖图 1.选择一个物体: 1选择菜单项:editselectby name 2选择菜单项:HFSSfieldsfieldsemag_e 3产生场图窗口:求解:setup1:lastadaptive;量:mag_e;体积:all;点击完成键 2.修正场图的属性: 1选择菜单项:HFSSfieldsmodify plot attributes 2 选择场文件窗口; 选择e field:点击确定键。 3e-field窗口;点击colormap项,推荐选择spetrum中的rainbow项,然后双击树状栏中的box1,点击transparent的

40、值,1为透明,0为全覆盖,自行设置;点击plot项;isovaltype:isovalsurface;点击apply键 4点击close键图场覆盖图 3.场图显示:显示一个全面的场图 1选择菜单项:viewanimate 2在扫频变量项:选择默认设置;扫频变量:phase:开始:0deg;结束:180deg;步数:93点击确定键1.6HFSS设计实例3H-T型波导的设计此例子是建立一个T型波导模型,利用HFSS软件求解、分析、观察T型波导的场分布情况。该例基本包括HFSS所有主要设计流程,其设计步骤如下:1.6.1创建工程1打开HFSS并保存新工程运行HFSS软件后,软件自动创建一个新工程即P

41、rojectl,并包含一个名称为HFSSDesign1的设计。由主菜单选File Save保存在用户设定的路径及文件夹内,并重新命名为T_waveguide。2重新命名HFSS设计在工程树中选择HFSSDesign1,点击右健,选择Renamne 项,将设计命名改为T_waveguide_design1。整个工程设计窗口如图 显示。图 1.6.1 工程设计窗口图 1.6.2 设置求解类型3选择求解类型主菜单中选择HFSS Solution Type,在弹出对话框中选择Driven Modal项,如图 所示。4设置单位主菜单中选3D Modeler Units,在Set Medel Units对

42、话框中选择in项。1.6.2创建模型1创建长方体绘制一个长方体:主菜单中选Draw Box或在工具栏中点击工具按钮,按下Tab键切换到参数设置区在工作区的右下角,长方体的基坐标x,y,z为0, -0.45, 0,数据输入时用Tab 键左右移动,按下Enter 键确认后,输入长方体的长和宽,再按下Enter 键确认,输入高度0, 0,0.4,再按下Enter 键确认。注意:在设置未全部完成时不要在绘图区中点击鼠标!定义长方体属性:设置完几何尺寸后,自动弹出该长方体的属性对话窗。选择Arrtibute 标签页,将Name项改为介Tee,Material项保持为Vaccum不变,点击Transpar

43、ent项数值条,在弹出窗口移动滑条使其值为0.6,提高透明度。设置完毕后按Ctrl+D键,将长方体适中显示,如图a所示。a b图1.6.3 a所建长方体;b设置波端口定义波形端口:按下F键转换到面选择状态,选中长方体平行于YZ面、x = 2的平面,再点右键,选择Assign Excitation Wave Port项,弹出Wave Port设置对话框,输入名称WavePortl,点击Next;点击Integralion Line项选New Iine,则提示绘制端口,在绘图区该面的下边缘中部即2,0 ,0处点左键,确定瑞口起始点,再选上边缘中部即2,0,0.4处,作为端口终点。此时弹出Wave

44、Port设置对话框,默认设置,点Next, 点Finish 结束。在工程树中选设计T_waveguide_design1内的Excitations项中的WavePortl端口,可选中该端口,如图b所示。提示:按下Alt键的同时,点左键移动鼠标可以旋转模型。按下Shift键的同时,点左键移动鼠标可以移动模型。同时按下Alt+Shft键,点鼠标左键可以放大模型。2复制长方体复制长方体以创建第2部分:展开绘图历史树的ObjectsvaccuumTee节点,右键点击Tee顶,选择Edit Duplicate Around Axis,在弹出对话窗的Axis 项选择Z轴,在Angel项输入90deg,在T

45、otal Number项输入2,点击OK。则复制、添加一个长方体默认名为Teel_1,与Z轴成90度夹角。按下Ctrl+D键以适中显示,如图所示。图1.6.4 复制第二个长方体继续复制第一个长方体以创建第3部分:重复以上步辍,只是在Angel项输入-90,则添加第3个长方体,默认命Tee_2,即Tee沿Z轴顺时针旋转90度复制而成,如图所示。图1.6.5 复制第三个长方体3组合长方体组合:按下O键切换到物体选择状态。选中第1 个长方体,按下Clrl键的同时选中第2、第3个长方体,主菜单中选择3DModeler Option Boolean Unite,则将3个长方体组合在一起,形成了一个T型接头如图所示。图1.6.6 组合成T型接头4创建间隔绘制

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号