电学半导体词汇缩写表.doc

上传人:李司机 文档编号:1181087 上传时间:2022-07-15 格式:DOC 页数:31 大小:923.97KB
返回 下载 相关 举报
电学半导体词汇缩写表.doc_第1页
第1页 / 共31页
电学半导体词汇缩写表.doc_第2页
第2页 / 共31页
电学半导体词汇缩写表.doc_第3页
第3页 / 共31页
电学半导体词汇缩写表.doc_第4页
第4页 / 共31页
电学半导体词汇缩写表.doc_第5页
第5页 / 共31页
点击查看更多>>
资源描述

《电学半导体词汇缩写表.doc》由会员分享,可在线阅读,更多相关《电学半导体词汇缩写表.doc(31页珍藏版)》请在三一办公上搜索。

1、半导体词汇缩写表A/Danalog to digitalAAatomic absorptionAASatomic absorption spectroscopyABCactivity-based costingABMactivity-based managementACalternating current; activated carbonACFanisotropic conductive filmACIafter-clean inspectionACPanisotropic conductive pasteACTalternative control techniques; actual

2、cycle timeADCanalog-to-digital converterADEadvanced development environmentADIafter-develop inspectionADTapplied diagnostic techniqueADTSEMApply/Develop Track Specific Equipment ModelAEatomic emission; acousticemission; absolute ellipsometryAECadvanced equipment controllerAECSAdvanced Equipment Cont

3、rolSystem; Automated Equipment Control SystemAEIafter-etch inspection; automated equipment interfaceAEManalytical electron microscopyAESAuger emission/electron spectroscopyAFMatomic force microscopyAFPabrasive-free polishAgsilverA-GEMTFAdvanced GEM Task ForceAGVautomated guided vehicleAHFanhydrous h

4、ydrogen fluorideAHUair handling unitAIRautomated image retrievalAlaluminumALDatomic layer depositionALEatomic layer epitaxy; application logic elementALSadvanced light source; advanced low-power SchottkyAMCairborne molecular contaminationAMHSautomated material handling systemAMTadvanced manufacturin

5、g technologyAMUatomic mass unitANNartificial neural networkANOVAanalysis of varianceAOVair-operated valveAPadhesion promoterAPAadvanced performance algorithmAPCadvanced process controlAPCDadd-on pollution control deviceAPCFIAdvanced Process Control Framework InitiativeAPCVDatmospheric pressure chemi

6、cal vapor depositionAPECadvanced process equipment controlAPIapplication programming interface; atmospheric pressure ionizationAPMatmospheric passivation module; acoustic plate modeAPRDLAdvanced Products Research and Development LaboratoryaPSMattenuating phase-shift maskAQIACCESS query interfaceAQLa

7、cceptable quality levelArargonARaspect ratioARAMSAutomated ReliabilityARCantireflective coatingARDEaspect ratio-dependent etchingARPAAdvanced Research Projects Agency (see DARPA)ARSangle-resolved scatteringAsarsenicAS/RSautomated storage and retrieval systemASAPAdvanced Stepper Application ProgramAS

8、ICapplication-specific integrated circuitASOautomatic shutoffASPadvanced strip and passivation; advanced strip processorASRautomated send receiveATDFAdvanced ToolDevelopment FacilityATEautomatic test equipmentATGautomatic test generationATLASabbreviated test language for all systemsatmatmosphereATPa

9、dvanced technology program; adenosine triphosphate; acceptance and tool performanceATRattenuated total reflectanceAttattenuatedAugoldAVPadvanced vertical processorAVSadvanced visualization systemAWEasymptotic waveform evaluationAWISPMabove wafer in situ particle monitoringAWSadvanced wet stationBbil

10、lion; boronBabariumBARCbottom antireflective coatingBASEBoston Area Semiconductor Education (Council)BAWbulk acoustic waveBCbias contrastBDEVbehavior-level deviationBDSBrownian Dynamics SimulationBeberylliumBEOLback end of lineBESOIbonded and etchback silicon on insulatorBFbrightfieldBFGSBroyden-Fle

11、tcher- Goldfarb-Shanno optimization algorithmBFLbuffered field-effect transistor logicBGAball grid arrayBHTBrinell hardness testBibismuthBiCMOSbipolar complementary metal-oxide semiconductorBIFETbipolar field-effect transistorBIMbinary intensity maskBiMOSbipolar metal-oxide semiconductorBISTbuilt-in

12、 self-testBITbulk ion temperatureBITEbuilt-in test equipmentBMCbubble memory controllerBMDbulk micro defectBOEbuffered oxide etchantBORbottom of rangeBOSSBook of SEMI Standards; binary object storage systemBOXburied oxideBPRbeam profile reflectometry; business process reengineeringBPSGboron phosphos

13、ilicate glassBPTEOSBPSG from a TEOS sourceBrbromineBSEbackscattered electron detectionBTABbumped tape automated bondingBVbreakdown voltageCcarbonCacalciumCACIM architectureCAACIM applications architectureCABCompetitive Analysis BenchmarkingCADcomputer-aided designCADTcontrol application development

14、toolCAEcomputer-aided engineeringCAIcomputer-assisted instructionCAMcomputer-aided manufacturingCAPScomputer-assisted problem solvingCARchemically amplified resistCARRIComputerized Assessment of Relative Risk ImpactsCASEcomputer-aided softwareengineering; computer-aided systems engineeringCATcompute

15、r-aided testingCAWConstruction Analysis WorkgroupCAWCcryogenic aerosol wafer cleaningCBGAceramic ball grid arrayCBSchemical bottle storage areaCBTcomputer-based trainingCCchip carrier; cluster controllerCCCceramic chip carrierCCDcharge-coupled deviceCCSLcompatible current-sinking logicCCWcountercloc

16、kwiseCdcadmiumCDcritical dimensionCD/OLcritical dimension overlayCDAclean dry airCDEchemical downstream etchCDEMCustomer Delivery Enterprise ModelCDIcollector-diffusion isolationCDMCommon Device Model for SABCDOcontrolled decomposition/oxidationCDRchemical distribution roomCDSchemical distribution s

17、ystemCeceriumCEcapillary electrophoresisCECcell evaluation chipCEEcontrol execution environmentCEMcontinuous emissions monitoringCER-DIPceramic dual in-line packageCFAcomponent failure analysisCFCchlorofluorocarbonCFDcomputational fluid dynamicsCFMcontamination-free manufacturingCICcleanroom interfa

18、ce chamberCIDcharge-injection deviceCIEcomputer-integrated engineeringCIMcomputer-integrated manufacturingCIM-OSAcomputer-integrated manufacturing-open systems architecture (ESPRIT program)CIPContinuous Improvement ProgramCISCenter for Integrated SystemsCISCcomplex instruction set computerClchlorine

19、CLCCceramic leaded chip carrierCLICclosed-loop intensity controlCMconfiguration management; cassette moduleCMCcassette module controllerCMLcurrent mode logicCMMcapability maturity modelCMOScomplementary metal-oxide semiconductorCMPchemical mechanical planarizationCMRcommon-mode rejection ratio; canc

20、el move requestCNCcomputer numerical control; condensation nucleus counterCNTcarbon nanotubeCocobaltCOBchip-on-boardCOCcost of consumablesCODECcoder-decoderCOEDcomputer-optimized experimental designCOGScost of goods soldCoOcost of ownershipCORBAcommon object request broker architectureCOREcomposite

21、object referenceCOSScommon object services specificationCOTcustomer-owned toolingCoVcoefficient of varianceCpprocess capabilityCPDconcurrent product developmentCPECommunicationsCPGAceramic pin grid arrayCpkprocess capability indexCQFPceramic quad flat packCQNclosed-queuing networkCrchromiumCRCcyclic

22、 redundancy checkCRMCost/Resource ModelCscesiumCSACIM systems architectureCSEcontrol systems engineeringCSFcritical success factorCSLcurrent-steering logicCSMA/CDcarrier-senseCSPchip-scale packageCSPEDconcurrent semiconductor production and equipment developmentCSTCIM systems technologyCSTRcontinuou

23、sly stirred tank reactorCSVcomma-separated variableCTCcluster tool controllerCTEcoefficient of thermal expansionCTIcycle time improvementCTMCcluster tool modular communicationsCucopperCUBcentral utility buildingCUBEScapacity utilization bottleneck efficiency systemCUIcommon user interfaceCUSUMcumula

24、tive sumCVcapacitance-to-voltageCVCMcollected volatile condensable materialsCVDchemical vapor depositionCWcontinuous waveCzCzochralski processD/Adigital to analogD/Bdie bondingDACdigital-to-analog converterDASdirect absorption spectroscopyDASSLdifferential algebraic system solverDBMSdatabase managem

25、ent systemDCdirect currentDCAdirect chip attachmentDCATSdouble-contained acid transfer systemDCEdistributed computer environmentDCLdigital command language; display communication logDCSdichlorosilaneDDLdevice description languageDDMSdefect data management systemDEDSdiscrete-event dynamic simulationD

26、ESdata encryption standard; display equipment statusDFdarkfieldDFCdensified fluid cleanDFEdual-frequency etchDFMdesign for manufacturingDFRdesign for reliabilityDFTdesign for testDFYdesign for yieldDHFdilute hydrofluoric acidDIdeionized; dielectric isolationDIBLdrain-induced barrier leakageDICdiffer

27、ential interference contrastDILdual in-lineDIPdual in-line packageDLBIdevice-level burn-inDLOCdeveloped source lines of codeDLSdisplay lot statusDLTdevice-level testDLTSdeep-level transient spectroscopyDMAdirect memory access; dynamic mechanical analysisDMHdisplay message helpsDMLdata manipulation l

28、anguage; display message logDMMdigital multimeterDMOSdiffused metal-oxide semiconductorDMRdisplay move requestsDOdynamic optimizationDOAdead-on alignmentDOASdifferential optical absorption spectroscopyDOEdesign of experimentsDOFdepth of focusDOPdioctylphthalateDPAdestructive physical analysisDPMdigi

29、tal panel meterDPPdischarge-produced plasmaDPSRAMdual-port static random access memoryDRAMdynamic random access memoryDRAPACDesign Rule and Process Architecture CouncilDRCdesign rule checkDREdestruction removal efficiencyDRIFTSdiffuse reflectance infrared Fourier transform spectroscopyDRTdefect revi

30、ew toolDSAdisplay system activity; dimensionally stable anodeDSCdifferential scanning calorimetryDSMCdirect simulation Monte CarloDSQdownstream quartzDSSdisplay stocker statusDSWdirect step-on-waferDTdynamic testDTAdifferential thermal analysisDTCdirect thermocouple controlDTLdiode transistor logicD

31、TMdefect test monitor; delay time multiplier; device test module; digital terrain mapDTMPNdefect test monitor phase numberDUTdevice under testDUVdeep ultravioletDVdesign verificationDVERdesign rule verificationDVMdigital voltmeterDVSdisplay vehicle statusDWGdomain work groupEAPSMembedded attenuated

32、phase-shift maskEAROMelectrically alterable read-only memoryEASEequipment and software emulatore-beamelectron beamEBHTelectron-beam high-throughput lithographyEBICelectron beam-induced currentEBRedge bead removalECengineering change; equipment controllerECAengineering capability assessmentECADelectr

33、onic computer-aided design; engineering computer-aided designECAEelectronic computer-aided engineeringECLemitter coupled logicECNengineering change noticeECOengineering change orderECQBelectrochemical quartz crystal balanceECRelectron cyclotron resonanceEDAelectronic design automationEDSenergy-dispe

34、rsive spectroscopyEDUequipment-dependent uptimeEDXenergy-dispersive X-rayEDXAenergy-dispersive X-ray analysisEEDFelectron energy distribution functionEELSelectron energy-loss spectroscopyEEPROMelectrically erasable programmable read-only memoryEFEMequipment front-end moduleEFOCSevanescent fiber-opti

35、c chemical sensorEFTIRemission Fourier transform infrared spectroscopyEFVexcess flow valveEGEethylene glycol ethersEHSextremely hazardous substanceEIequipment integrationEIDEquipment InterfaceDevelopmentEIPEquipment Improvement Program; Equipment Improvement ProjectEISelectrochemical impedance spect

36、roscopyEKFextended Kalman filterELFextremely low frequencyEMenterprise model; electromagnetic; electromigrationEMAequipment maturity assessmentEMCelectromagnetic capability; electromagnetic compatabilityEMFelectromagnetic fieldEMGelectromigrationEMIelectromagnetic interferenceEMMAelectron microscopy

37、 and microanalysisEMPelectromagnetic pulseEMRenter move requestEMUelectromagnetic unitEOSelectrical overstressEOTend of transfer; equivalent oxide thicknessEPextreme pressure; electropolishEPLelectron projection lithographyEPRelectron paramagnetic resonanceEPROMelectrically programmable read-only me

38、moryEPSSelectronic performance support systemEPTequipment performance trackingEQUIPC/I equipment control and integrationEQUIPRTC equipment real-time controlERAMequipment reliabilityERMenterprise reference modelERNexternal recurrent neural networkERPextended range pyrometerERSevent reporting standard

39、ERTemergency response timeESengineering specification; expert systemESCelectrostatic chuckESCAelectron spectroscopy for chemical analysisESDelectrostatic dischargeESHenvironmentESMelectronic service manualETABExecutive Technical Advisory BoardETQRExternal Total Quality and ReliabilityEUVextreme ultr

40、avioleteVelectron voltEWMAexponentially weighted moving averageFfluorineF/Ifinal inspectionFAfailure analysisFABfast atom bombardmentFAMOSfloating-gate avalanche-injection metal-oxide semiconductorFBGAfine-pitch ball grid arrayFCflip chipFCMfacilities cost modelFCSfactory control systemFDCfault dete

41、ction and classificationFDEfrequency domain experimentsFDSOIfully depleted silicon on insulatorFeironFECfabrication evaluation chipFEMfinite element modelFEOLfront end of lineFESEMfield emission scanning electron microscopyFETfield-effect transistorFFTfast Fourier transformFFUfilter fan unitFIfilter

42、ability index; factory integrationFIBfocused ion beamFIDflame ionization detectorFIFOfirst-inFIMSfront-opening interface mechanical standardFLfuzzy logicFLOPCfloating point operations needed per cycleFLOTOXfloating gate tunnel oxideFLRTfactory layout/relayout toolFMforeign materialFMEAfailure mode a

43、nd effects analysisFMMCfactory material movement componentFMVPFramework Member Validation ProjectFNNfeed-forward neural networkFOCSfiber-optic chemical sensorFOSBfront opening shipping boxFOUPfront opening unified podFOVfield of viewFOXfield oxideFPflash pointFPDfocal plane deviation; flat panel dis

44、playFPGAfield-programmable gate arrayFPLAfield-programmable logic arrayFPLFfield-programmable logic familyFPLSfield-programmable logic switchFPMSFactory Performance Modeling SoftwareFPROMfield-programmable read-only memoryFRACASFailure ReportingFRAMEFailure Rate Analysis and ModelingFRMBfast ramp mini batchFSGfused silica glassFSMfinite state machineFTfinal test; Four

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号