参数可设置宏功能模块lpm的应用ppt课件.ppt

上传人:小飞机 文档编号:1320209 上传时间:2022-11-08 格式:PPT 页数:25 大小:783KB
返回 下载 相关 举报
参数可设置宏功能模块lpm的应用ppt课件.ppt_第1页
第1页 / 共25页
参数可设置宏功能模块lpm的应用ppt课件.ppt_第2页
第2页 / 共25页
参数可设置宏功能模块lpm的应用ppt课件.ppt_第3页
第3页 / 共25页
参数可设置宏功能模块lpm的应用ppt课件.ppt_第4页
第4页 / 共25页
参数可设置宏功能模块lpm的应用ppt课件.ppt_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《参数可设置宏功能模块lpm的应用ppt课件.ppt》由会员分享,可在线阅读,更多相关《参数可设置宏功能模块lpm的应用ppt课件.ppt(25页珍藏版)》请在三一办公上搜索。

1、实验2参数ke 设置宏功能模块LPM的应用,一、参数可设置模块库,参数可设置模块库(Library of Parameterized Modules,LPM)是Altera公司提供的以图形或者硬件描述语言模块形式方便调用的宏功能块设计者可以根据设计电路的需要,选择LPM库中的适当模块,通过对其设置参数,完成自己的设计需要。不必进行重复模块的设计,而将更多的精力放在其他功能的实现上,极大地提高了电子设计的效率和可靠性。,一、参数可设置模块库(续1),1、ALTERA提供的宏功能模块,算术组件 如:累加器、加法器、乘法器、LPM算 术函数门电路 如:多路复用器和LPM门函数 I/O组件 如:时钟数

2、据恢复(CDR)、锁相环(PLL)、千兆位收发器块(GXB)、LVDS接收器和发送器等存储组件和存储器编译器如:LPM_ROM、RAM,一、参数可设置模块库(续2),算数组件,一、参数可设置模块库(续3),门电路,一、参数可设置模块库(续4),I/O组件,一、参数可设置模块库(续5),存储组件,一、参数可设置模块库(续6),2、调用宏功能模块的方法,也可通过菜单ToolsMegaWizard Plug-In Manager,新建原理图编辑文件,双击打开Symbol对话框调用,二、利用lpm-rom设计一个乘法器,1、ROM工作原理由地址线(adderss)数量确定存储单元个数,如:8根地址线a

3、ddress(7.0),共有256个存储单元每个存储单元能够保存一定位数的二进制数,成为数据位宽2、实验原理 写存储单元时,地址和数据的表达形式 地址:数据,0010,1000 : 0001,1000,8位地址低4位,8位地址高4位,二、利用lpm-rom设计一个乘法器,设置存储单元数目与数据宽度,二、利用lpm-rom设计一个乘法器,3、实验步骤配置乘法表数据文件,FileNewMemory FilesMemory Initialization File(或者Hexadecimal Intel Format File),二、利用lpm-rom设计一个乘法器,设置数据文件显示格式,ViewCe

4、lls Per Row,二、利用lpm-rom设计一个乘法器,完成数据文件(九九乘法表),保存,文件名romdata.hex,二、利用lpm-rom设计一个乘法器,定制lpm_rom元件,ToolsMegaWizard Plug-In Manager,二、利用lpm-rom设计一个乘法器,选择元件及保存位置等,二、利用lpm-rom设计一个乘法器,设置lpm_rom参数,二、利用lpm-rom设计一个乘法器,设置lpm_rom参数(续),本例中可以不选择使能信号和清零信号,直接NEXT进入下一步设置,二、利用lpm-rom设计一个乘法器,设置lpm_rom参数(续),二、利用lpm-rom设计

5、一个乘法器,完成lpm_rom设置,二、利用lpm-rom设计一个乘法器,顶层文件的设计 两种形式:VHDL语言或者原理图调用lpm_rom模块,例1:采用VHDL语言library ieee;use ieee.std_logic_1164.all;entity multip is -定义顶层实体 port(clk :in std_logic; a :in std_logic_vector(3 downto 0); -乘数a,即rom地址低4位 b :in std_logic_vector(3 downto 0); -乘数b,即rom地址高4位 q :out std_logic_vector(

6、7 downto 0); -乘积q ,即存储单元内存储数据为abend;architecture one of multip iscomponent rom0 -调用rom0,即rom0.vhd声明 port(address :in std_logic_vector(7 downto 0); clock :in std_logic; q :out std_logic_vector(7 downto 0);end component;beginu1:rom0 port map (clock=clk,address(7 downto 4)=b,address(3 downto 0)=a,q=q);

7、 end;,二、利用lpm-rom设计一个乘法器,例2:采用原理图,需要在打开rom0.vhd文件的情况下,选择FileCreate/UpdateCreate Symbol Files for Current File,将其转化为原理图元件,注意:另存为与rom0不同名的文件名即可!,二、利用lpm-rom设计一个乘法器,波形仿真新建波形文件调入节点端口设置仿真激励(过程同全加器波形仿真) 设置时钟信号,二、利用lpm-rom设计一个乘法器,波形仿真(续) 设置乘数、被乘数,选择数制,设置数值,取消数值加1操作,二、利用lpm-rom设计一个乘法器,波形仿真(续) 设置完成后保存波形文件,仿真硬件验证:用8个按键来表示乘数和被乘数(高4位和低4位)如2*3,0010 0011,用8个LED表示乘机,00000110 。 该部分请同学们自行完成,三、利用lpm_multi设计一个8位有符号乘法器,主要参数表,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号