毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt

上传人:小飞机 文档编号:1345617 上传时间:2022-11-12 格式:PPT 页数:12 大小:934KB
返回 下载 相关 举报
毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt_第1页
第1页 / 共12页
毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt_第2页
第2页 / 共12页
毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt_第3页
第3页 / 共12页
毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt_第4页
第4页 / 共12页
毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt》由会员分享,可在线阅读,更多相关《毕业答辩ppt基于FPGA的日历时钟的设计课件.ppt(12页珍藏版)》请在三一办公上搜索。

1、常熟理工学院07级毕业答辩PPT,姓 名:季红娟,基于FPGA的日历时钟的设计,指导老师:潘启勇,封面,学 号:160407230,主要演讲内容,常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT,课题主要研究内容,PicoBlaze的介绍,系统验证的结果,总结,系统方案的设计,课题主要研究内容,常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT,本课题的主要研究内容是以FPGA为核心的日历时钟设计。其中包括: (1)日历时钟的分频模块,PicoBlaze处理器的应用,显示及显示控制模块块设计以及各个模块之与处理器间的之间的联系。 (2)掌握FPGA系统电路设计方法,学会操作I

2、SE软件来完成FPGA的日历时钟的设计和开发。 (3)LCD显示屏的驱动程序以及接口电路。,PicoBlaze的 介绍,常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT,PicoBlaze原名KCPSM3,是一个针对Virtex和Spartan3(E)系列FPGA及CoolRunner-II系列CPLD器件设计的8位微控制器嵌入式专用知识产权核(IP Core),它解决了常量编码可编程状态机(KCPSM)的问题,这一模块只占用Spartan3E的96个小区(Slice),占器件XC3S500E不到1的资源。在这一模块中还包括一个用于存储指令的ROM(由XC3S500E的Block R

3、AM组成),最多可存储1024条指令。PicoBlaze只用了如此少的资源,但其速度却可达到100MIPS(200MHz)以上。它的性能超过了传统独立元器件组成的微处理器,而且成本低,使得PicoBlaze在数据处理和控制算法领域有着广泛的应用前景。由于可编程部分也可以嵌入,PicoBlaze可与子程序和外围电路结合起来完成特殊的设计。,常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT,PicoBlaze的基本框架图,常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT,系统整体框架图,日历时钟开发的流程,常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT,1编

4、写代码。在文本编辑器中用KCPSM3格式的汇编语言编写用于实现时钟的代码,并保存为control.psm文件(后缀名为psm)。2汇编。建立工作目录(如D:assembler),并将汇编器KCPSM3.exe、ROM_form.v、ROM_form.coe、ROM_form.vhd及KCPSM3格式的汇编程序代码control.psm拷贝到该目录下。在命令行窗口中进入到工作目录并运行如下的命令:KCPSM3 control.psm。汇编成功后会生成control.v、control.vhd等文件。,常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT,3编写顶层模块。在Xilinx的

5、ISE集成开发环境中创建工程,并建立顶层应用模块picoblaze_real_time_clock.vhd。将PicoBlaze的源代码KCPSM.vhd及汇编生成的ROM程序control.vhd加入到当前工程,并在顶层模块中实例化KCPSM.vhd及control.vhd。最后在顶层模块中加入少量的粘合逻辑代码即可完成设计。4下载调试,日历时钟开发的流程,日历时钟的开发流程,时钟模块的实体可定义为:entity picoblaze_real_time_clock is port ( led : out std_logic_vector(7 downto 0); strataflash_oe

6、 : out std_logic; strataflash_ce : out std_logic; strataflash_we : out std_logic; switch : in std_logic_vector(3 downto 0); tn_north : in std_logic; tn_east : in std_logic; tn_south : in std_logic; tn_west : in std_logic; lcd_d : inout std_logic_vector(7 downto 4); lcd_rs : out std_logic; lcd_rw : o

7、ut std_logic; lcd_e : out std_logic; rotary_a : in std_logic; rotary_b : in std_logic; rotary_press : in std_logic; clk : in std_logic);end picoblaze_real_time_clock;,系统验证的结果,常熟理工物理与电子信息工程学院电子信息工程季红娟毕业设计PPT,验证的结果:在 LCD 模块上证的显示时间、日期和星期的时钟。可以通过按压和旋转入门套件板上的旋转编码器来设置时间和日期。,总 结,该课题涉及到FPGA设计流程和PicoBlaze内核应用两个方面的内容,本人主要负责硬件FPGA内部的接口控制逻辑设计和顶层文件的设计以及要掌握PicoBlaze内核的应用。利用VHDL语言完成了FPGA顶层文件的设计,提出并实现了基于PicoBlaze核的日历时钟设计。,常熟理工物理与电子工程学院电子信息工程季红娟毕业设计PPT,The End!,结 束,Thank You!,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号