实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt

上传人:小飞机 文档编号:1413608 上传时间:2022-11-21 格式:PPT 页数:12 大小:1.83MB
返回 下载 相关 举报
实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt_第1页
第1页 / 共12页
实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt_第2页
第2页 / 共12页
实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt_第3页
第3页 / 共12页
实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt_第4页
第4页 / 共12页
实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt》由会员分享,可在线阅读,更多相关《实验一 TTL集电极开路门和三态输出门测试ppt课件.ppt(12页珍藏版)》请在三一办公上搜索。

1、实验一 TTL集电极开路门和三态输出门测试,一、实验目的1、掌握TTL集电极开路门(OC门)的逻辑功能及应用2、掌握TTL三态输出门(TSL门)的逻辑功能及应用二、实验要求 1、 集电极开路门的应用 3、74LS125三态输出门的逻辑功能测试及应用。三、所需实验设备 1、数字电路实验箱 、数字万用表 、2Hz的连续脉冲、单次脉冲 2、芯片74LS03、74LS04、74LS125各一片, 200欧电阻一只、10K电位器一个,导线若干。,四、实验原理,(一)TTL集电极开路与非门74LS03测试,图1-6 TTL集电极开路与非门74LS03测试,1、实验原理图,将实验箱上电源模块区中的VCC(+

2、5V)及地(GND)通过长导线分别连接至电源接线区中的+5V及GND。在实验箱上找一个合适的插座插上芯片74LS03,并将74LS03的第7脚接上实验箱的地(GND),第14脚接上+5V电源,74LS03的输入变量端接实验箱上开关输入模块区的拨动开关。 (注:实验箱上设有16个拨动开关K1K16,每个开关的输出状态及它的反极性输出均由插孔引出。开关向上拨动,正极性插孔输出为高电平且状态为“1”,它的反极性插孔输出低电平且状态为“0”;开关向下拨动,正极性插孔输出为低电平且状态为“0”,它的反极性插孔输出高电平且状态为“1”),2、实验连线,2、实验连线,用两个集电极开路与非门“线与”使用驱动一

3、个TTL非门。在实验箱上再找一个合适的插座插上芯片74LS04,按图1-6连接实验电路。负载电阻由一个200电阻和一个10K电位器串接而成。打开实验箱电源开关,用逻辑开关改变两个OC门的输入状态,应用OC门“线与”功能,测试74LS04输出。,(二)三态输出门的逻辑功能测试及应用,1、测试74LS125三态输出门的逻辑功能 在数字电路实验箱上找一个合适的插座插上芯片74LS125,并在74LS125的第7脚接上实验箱的地(GND),第14脚接上电源(+5V),三态门输入端接逻辑开关(开关输入模块区),三态门控制端接实验箱上单脉冲模块区中的SP1(每按AN1按钮一次,可产生一个正脉冲),输出接逻

4、辑电平显示(LED指示模块区)。测试74LS125中的一个三态门的逻辑功能,记入表1-2中。,(二)三态输出门的逻辑功能测试及应用,1、测试74LS125三态输出门的逻辑功能,表1-2 74LS125功能表,(二)三态输出门的逻辑功能测试及应用,2、三态输出门的应用,图1-7 用74LS125实现总线传输实验电路,(三)三态输出门的逻辑功能测试及应用,2、三态输出门的应用,将四个三态缓冲器按图1-7接线,输入端按图示加输入信号,控制端接逻辑开关输入,输出端接LED显示,先使四个三态门的控制端均为高电平“1”,即处于禁止状态。注意,应先使工作的三态门转换为禁止状态,再让另一个门开始传递数据。记录

5、实验结果。(注:2Hz的连续脉冲由实验箱上可编程脉冲源模块区产生,该模块产生脉冲的频率在2HZ2KHZ范围内可调,调节频率调节旋钮可以改变脉冲的频率,脉冲信号从插孔“脉冲输出”引出),五、芯片引脚图,六、实验报告要求,1. 画出实验内容1输入变量与输出函数的真值表。 2. 用表格形式给出实验内容2(1)(2)的测试结果。,六、实验报告要求,1、要求使用长江大学标准实验报告纸。报告纸上的姓名、实验日期、房间、班级、序号、周次、星期和指导教师等内容都要写完整。2、实验报告应包含如下部分: 实验名称 实验目的 实验器材 实验原理 实验步骤 实验结果 实验体会,图 DVCCNTZH实验平台电子技术部分,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号