显示译码器课件.pptx

上传人:小飞机 文档编号:1490778 上传时间:2022-12-01 格式:PPTX 页数:22 大小:594.56KB
返回 下载 相关 举报
显示译码器课件.pptx_第1页
第1页 / 共22页
显示译码器课件.pptx_第2页
第2页 / 共22页
显示译码器课件.pptx_第3页
第3页 / 共22页
显示译码器课件.pptx_第4页
第4页 / 共22页
显示译码器课件.pptx_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《显示译码器课件.pptx》由会员分享,可在线阅读,更多相关《显示译码器课件.pptx(22页珍藏版)》请在三一办公上搜索。

1、三、显示译码器,二-十进制数码,显示译码器,数码显示器,在数字逻辑系统中,常常要把测量数据和运算结果用十进制数显示出来,这就要用显示译码器,将BCD代码译成能够用显示器件显示出的十进制数。,常用的显示器件:,半导体数码管;,液晶数码管;,荧光数码管。,四、显示译码器,半导体数码管(或称LED数码管)是常用的显示器件,其基本单元是发光PN结,目前较多采用磷砷化镓做成的PN结,封装成为发光二极管。当外加正向电压时,就能发出清晰的光线。,发光二极管的工作电压为1.53V,由于工作电流为几毫安到十几毫安比较小,故实际电路应串接适当的限流电阻。,多个PN结可以分段封装成半导体数码管,每段为一发光二极管,

2、其字形结构如图(b)所示。选择不同字段发光,可显示出不同的字形。,七段数码显示器的两种结构形式:,(1)共阴结构,与“1”电平驱动(输出高电平有效)的显示译码器配合使用;,(2)共阳结构,与“0”电平驱动(输出低电平有效)的显示译码器配合使用。,1、七段数码显示器,b,c,0 1 1 0 0 0 0,1 1 0 1 1 0 1,1 1 1 1 1 1 0,1 1 1 1 0 0 1,0 1 1 0 0 1 1,1 1 1 0 0 1 1,1 1 1 1 1 1 1,七段数码显示器显示数字的情况:,1 0 1 1 0 1 1,0 0 1 1 1 1 1,1 1 1 0 0 0 0,共阴数码管,2

3、、集成显示译码器74HC4511,(BCD七段显示译码器),输出:接数码显示器,输入,输入,(1)外引线排列图,控制端,(2)逻辑符号,集成显示译码器CC14547,消隐控制端,低电平有效。,8421 码输入端,译码驱动输出端,高电平有效。,继续,允许数码显示,伪码,相应端口输出有效电平 1,使显示相应数字。,输入BCD 码,禁止数码显示,继续,集成显示译码器74LS48,灯测试输入,灭零输入,灭灯输入灭零输出,74LS48,控制端功能,继续,灭零输出接相邻位(靠中间)的灭零输入,继续,显示译码器74LS48与数码管的连接,继续,用2片74HC138级联扩展成4线 -16线译码器。,输出的问题

4、:,输入的问题:,译码器的应用 1. 级联(扩展),输出的问题:,输入的问题:,低位,高位,138(1)译码,138(2)禁止,138(1)禁止,138(2)译码,A0,A1,A2,A3,1,连完之后可再检查确认,原理:,二进制译码器输出能提供 输入变量的全部最小项的反函数,输出信号:,任何组合逻辑函数都可以表示成为最小项之和的标准形式。,译码器,与非门,组合逻辑函数,译码器的应用2.用译码器实现组合逻辑函数,设计步骤:,()选择集成二进制译码器。,待设计的逻辑函数的 变量数目,译码器输入代码的位数,()将逻辑函数变换成标准的与非表达式。,()确定输入变量与译码器输入端的对应关系,画连线图。,

5、则用译码器和与非门可以实现任意的m( mn)变量组合逻辑函数。,如果译码器的输入代码位数为n,,试用3-8线译码器74HC138设计一个多输出的组合逻辑电路。输出的逻辑函数式为:,(1)先将逻辑函数化为最小项之和的标准与或式;,例:,(2)将逻辑函数化为标准的与非-与非表达式:,(2)将逻辑函数化为标准的与非-与非表达式:,(3)确定函数输入变量与译码器输入端的对应关系,画连线图,1,(3)确定函数输入变量与译码器输入端的对应关系,画连线图,1,由于有 A、B、C 三个变量,故选用 3 线 - 8 线译码器。,解:,(1) 根据逻辑函数选择译码器,练 试用译码器和门电路实现逻辑函数,选用 3 线 - 8 线译码器 74LS138, 并令 A2 = A,A1 = B,A0 = C。,(2) 将函数式变换为标准最小项之或的形式,(3)根据译码器的输出有效电平确定需用的门电路,继续,(4)画连线图,自己看p103例3.3.3,继续,练: 已知某组合逻辑电路的真值表,试用译码器和门电路设计该逻辑电路。,结束,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号