封装专用英语词汇概要.doc

上传人:牧羊曲112 文档编号:1613073 上传时间:2022-12-10 格式:DOC 页数:28 大小:141KB
返回 下载 相关 举报
封装专用英语词汇概要.doc_第1页
第1页 / 共28页
封装专用英语词汇概要.doc_第2页
第2页 / 共28页
封装专用英语词汇概要.doc_第3页
第3页 / 共28页
封装专用英语词汇概要.doc_第4页
第4页 / 共28页
封装专用英语词汇概要.doc_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《封装专用英语词汇概要.doc》由会员分享,可在线阅读,更多相关《封装专用英语词汇概要.doc(28页珍藏版)》请在三一办公上搜索。

1、常见封装形式简介DIP = Dual Inline Package = 双列直插封装HDIP = Dual Inline Package with Heat Sink = 带散热片的双列直插封装SDIP = Shrink Dual Inline Package = 紧缩型双列直插封装SIP = Single Inline Package = 单列直插封装HSIP = Single Inline Package with Heat Sink = 带散热片的单列直插封装SOP = Small Outline Package = 小外形封装HSOP = Small Outline Package w

2、ith Heat Sink = 带散热片的小外形封装eSOP = Small Outline Package with exposed thermal pad = 载体外露于塑封体的小外形封装 SSOP = Shrink Small Outline Package = 紧缩型小外形封装TSSOP = Thin Shrink Small Outline Package = 薄体紧缩型小外形封装TQPF = Thin Profile Quad Flat Package = 薄型四边引脚扁平封装PQFP = Plastic Quad Flat Package = 方形扁平封装LQPF = Low P

3、rofile Quad Package = 薄型方形扁平封装eLQPF = Low Profile Quad Flat Package with exposed thermal pad = 载体外露于塑封体的薄型方形扁平封装DFN = Dual Flat Non-leaded Package = 双面无引脚扁平封装QFN = Quad Flat Non-leaded Package = 双面无引脚扁平封装TO = Transistor package = 晶体管封装SOT = Small Outline of Transistor = 小外形晶体管BGA = Ball Grid Array =

4、 球栅阵列封装BQFP = Quad Flat Package With Bumper = 带缓冲垫的四边引脚扁平封装CAD = Computer Aided Design = 计算机辅助设计CBGA = Ceramic Ball Grid Array = 陶瓷焊球阵列 CCGA = Ceramic Column Grid Array = 陶瓷焊柱阵列CSP = Chip Size Package = 芯片尺寸封装 DFP = Dual Flat Package = 双侧引脚扁平封装 DSO = Dual Small Outline = 双侧引脚小外形封装 3D = Three-Dimensi

5、onal = 三维 2D = Two-Dimensional = 二维FCB = Flip Chip Bonding = 倒装焊IC = Integrated Circuit = 集成电路I/O = Input/Output = 输入/输出LSI = Large Scale Integrated Circuit = 大规模集成电路MBGA = Metal BGA = 金属基板BGAMCM = Multichip Module = 多芯片组件MCP = Multichip Package = 多芯片封装MEMS = Microelectro Mechanical System = 微电子机械系统

6、MFP = Mini Flat Package = 微型扁平封装MSI = Medium Scale Integration = 中规模集成电路 OLB = Outer Lead Bonding = 外引脚焊接PBGA = Plastic BGA = 塑封BGA PC = Personal Computer = 个人计算机 PGA = Pin Grid Array = 针栅阵列 SIP = System In a Package = 系统级封装SOIC = Small Outline Integrated Circuit = 小外形封装集成电路 SOJ = Small Outline J-Le

7、ad Package = 小外形J形引脚封装 SOP = Small Outline Package = 小外形封装 SOP = System On a Package = 系统级封装WB = Wire Bonding = 引线健合 WLP = Wafer Level Package = 晶圆片级封装 常用文件、表单、报表中英文名称清除通知单 Purge notice工程变更申请 ECR(Engineering Change Request)持续改善计划 CIP(continuous improvement plan)戴尔专案 Dell Project收据 Receipt数据表 Data sh

8、eet核对表 Check list文件清单 Documentation checklist设备清单 Equipment checklist调查表,问卷 Questionnaire报名表 Entry form追踪记录表 Tracking log日报表 Daily report周报表 Weekly report月报表 Monthly report年报表 Yearly report年度报表 Annual report财务报表 Financial report品质报表 Quality report生产报表 Production report不良分析报表 FAR(Failure analysis rep

9、ort)首件检查报告 First article inspection report初步报告(或预备报告) Preliminary report一份更新报告 An undated report一份总结报告 A final report纠正与改善措施报告(异常报告单) CAR (Corrective Action Report)出货检验报告 Outgoing Inspection Report符合性报告(材质一致性证明) COC(Certificate of Compliance)稽核报告 Audit report品质稽核报告 Quality audit report制程稽核报告 Process

10、 audit report5S 稽核报告 5S audit report客户稽核报告 Customer audit report供应商稽核报告 Supplier audit report年度稽核报告 Annual audit report内部稽核报告 Internal audit report外部稽核报告 External audit reportSPC 报表(统计制程管制) Statistical process control工序能力指数(Cpk) Process capability index(规格)上限 Upper limit(规格)下限 Lower limit规格上限 Upper

11、Specification Limit(USL)规格下限 Lower Specification Limit(LSL)上控制限(或管制上限) Upper Control Limit(UCL)下控制限(或管制下限) Lower Control Limit(LCL)最大值 Maximum value平均值 Average value最小值 Minimum value临界值 Threshold value / critical valueMRB 单(生产异常通知报告) Material Review Board Report工艺流程图 Process Flow Diagram物料清单(产品结构表/用

12、料结构表) BOM (Bill of Materials )合格供应商名录 AVL (Approved Vendor List)异常报告单 CAR工程规范报告通知单(工程变更通知) ECNTECN自主点检表 Self Check List随件单(流程卡) Traveling Card (Run Card)压焊图 Bonding diagram晶圆管制卡 Wafer inspection card晶圆进料品质异常反馈单 Feedback Report for Wafer Incoming Quality Problems订购单 PO(Purchase Order)出货通知单 Advanced S

13、hip Notice送货单/交货单 DO(Delivery Order)询价单 RFQ(Request for quotation)可靠性实验报告 Reliability Monitor Report产品报废单 PSB特采控制表 CRB返工单 PRB异常处理行动措施 OCAP减薄:Wafer weif n .威化饼干、电子晶片(晶圆薄片)Grindraind vt. & vi. 磨碎;嚼碎 n .磨,碾Crackkrk vt. & vi. (使)开裂, 破裂n.裂缝, 缝隙Inkik n. 墨水, 油墨Die dai vt. & vi. 死亡(芯片)Dotdt n .点, 小圆点Mountin

14、gmaunti n. 装备,衬托纸Tapeteip n. 带子;录音磁带; 录像带Sizesaiz n. 大小, 尺寸,尺码Thickik adj. 厚的,厚重的Thicknessiknis n. 厚(度), 深(度)宽 (度)Positionpzin n. 方位,位置Roughrf adj .粗糙的; 不平的Finefain adj. 美好的, 优秀的, 优良的, 杰出的Speed spi:d n. 速度, 速率Sparksp:k n. 火花; 火星Outaut adv. 离开某地, 不在里面;(火或灯)熄灭Grindstoneraindstun n. 磨石、砂轮Mountmaunt vt.

15、 & vi. 装上、配有Mounter 装配工;安装工;镶嵌工Mountingmauntin. 装备,衬托纸Magazine,mzi:n n. 杂志, 期刊,弹药库(传递料盒)Cassettekset n. 盒式录音带;盒式录像带Inspectinspekt vt. 检查,检验,视察Inspectioninspekn n. 检查,视察Cardk:d n. 卡, 卡片, 名片划片:Saws: n. 锯 vt. & vi. 锯,往复运动Sawings:i n. 锯,锯切,锯开Filmfilm n. 影片, 电影(薄膜,蓝膜)Frame freim n. 框架,骨架,构架Cleankli:n adj

16、. 清洁的, 干净的;纯净的Cleanerkli:n n. 作清洁工作的人或物Ovenvn n . 烤箱, 炉Cassettekset n. 盒式录音带;盒式录像带Handlerhndl n. (物品、商品)的操作者Scribeskraib n . 抄写员, 抄书吏Street n. 大街, 街道Bladebleid n. 刀口, 刀刃,刀片Cutkt vt. & vi. 切, 剪, 割, 削Speedspi:d n. 速度, 速率Spindlespindl n. 主轴, (机器的)轴Sizesaiz n. 大小, 尺寸,尺码Coolingku:li adj. 冷却(的)Kerfk:f n.

17、锯痕,截口,切口Widthwid n . 宽度, 阔度, 广度Chiptip n. 碎片、缺口Chippingtipi n. 碎屑,破片Crackkrk vt . (使)开裂,破裂 n . 裂缝, 缝隙Missingmisi adj. 失掉的,失踪的,找不到的Die dai vt. & vi. 死亡(芯片)Saws: n. 锯 vt. & vi. 锯,往复运动Street stri:t n. 大街, 街道Filmfilm n. 影片, 电影(薄膜,蓝膜)Frame freim n. 框架,骨架,构架Tapeteip n. 带子;录音磁带; 录像带Bubblebbl n. 泡, 水泡, 气泡mo

18、unt-贴 wafer-晶圆 frame-框架 blade-刀片tape-膜 cassette-盒子 completion-完成 loader-上料un-loader-出料 initial-初始化 open-打开 air-空气pressure-压力 failure-失败 vacuum-真空 alignment-校准ink-黑点 die-芯片 error-错误 limit-限制cover-盖子 device-产品 data-数据 saw-切割water-水 elevator-升降机 spindle-主轴 sensor-感应器wheel-轮子 setup-测高 rotary-旋转 check-检查

19、feed-进给 cutter-切割 speed-速度 height-高度new-新 shift-轮班 pause-暂停 clean-清洗center-中心 chip-崩边 change-变换 enter-确认Off center-偏离中心 broken-破的 alarm-报警上芯:Attachtt vt. & vi. 贴上; 系; 附上Bondbnd n. 连接, 接合, 结合 vt. 使粘结, 使结合Bonderbnd n. 联接器,接合器,粘合器Die attach material epoxy 粘片胶Epoxyepksi n. 环氧树脂(导电胶)Materialmtiril n. 材料,

20、 原料Non-conductive epoxy 绝缘胶Conductivekndktiv adj. 传导的Dispenserdispens n. 配药师, 药剂师Nozzlenzl n. 管嘴, 喷嘴Rubberrb n. (合成)橡胶,橡皮Tiptip n. 尖端, 末端Die pick-up tool 吸嘴Tooltu:l n. 工具, 用具Collectklekt vt. 收集, 采集(吸嘴)Ejectoridekt n. 驱逐者,放出器,排出器Pinpin n. 针,大头针, 别针Lead Frame 引线框架Leadli:d vt. & vi. 带路, 领路, 指引Frame fre

21、im n. 框架,骨架,构架Magazine,mzi:n n. 杂志, 期刊(料盒)Curingkjuri n. 塑化, 固化, 硫化, 硬化Ovenvn n. 烤箱, 炉Scrapskrp n. 小片, 碎片, 碎屑Dent dent n. 凹痕, 凹坑Die Lift-off 晶粒脱落(芯片脱落,掉芯)Skewskju: adj. 歪, 偏, 斜Misorientation mis,:rientein n. 定向误差,取向误差Pre squeeze del 写胶前气压延时Post squeeze del 写胶后气压延时Squeezeskwi:z vt. 榨取, 挤出n.挤, 榨, 捏Eje

22、ct idekt vt. & vi .弹出, 喷出, 排出Delaydilei n. 延迟Heighthait n. 高度, 身高Levellevl n. 水平线, 水平面; 水平高度Headhed n. 头部,领导, 首脑Eject up delay 顶针延迟Eject up height 顶针高度Bond level 粘片高度Pick Level 捡拾芯片高度Head pick delay 粘接头拾取延迟Head bond delay 粘接头粘接延时Pick delay 捡拾芯片延时Bond delay 粘接芯片延时Indexindeks n. 索引;标志, 象征; 量度Clampklmp

23、 vt. & vi. 夹紧; 夹住 n.夹具Index clamp delay 步进夹转换延时Index delay 框架步进延时Sheari vt. 剪羊毛, 剪n.大剪刀Testtest n. 测验,化验,试验, 检验Die shear test 推晶试验Thicknessiknis n. 厚(度), 粗Coveragekvrid n. 覆盖范围Epoxy thickness & coverage 导电胶厚度和覆盖率Orientation,:rientein n. 方向, 目标Die Orientation 芯片方向Voidvid adj. 空的, 空虚的 n.太空, 宇宙空间;空隙, 空

24、处;空虚感, 失落感Epoxy void 导电胶空洞Chiptip n. 碎片Damagedmid vt. & vi. 损害, 毁坏, 加害于 n. 损失, 损害, 损毁Chip damage 芯片损伤Backsidebksaid n. 臀部, 屁股,背面Chip backside damage 芯片背面损伤Tilttilt vt. & vi. (使)倾斜Tilted die 芯片歪斜Epoxy on die 芯片粘胶Crackkrk vt. & vi. (使)开裂, 破裂 n. 裂缝, 缝隙Crack die 芯片裂缝/芯片裂痕Liftlift vt. & vi. 举起, 抬起 n. 抬,

25、举Lifted die 翘芯片Misplace,mispleis vt. 把放错位置Misplaced die 设置芯片NO die on L/F 空粘Insufficient,nsfint adj. 不足的, 不够的Insufficient epoxy 导电胶不足Epoxy crack 导电胶多胶Epoxy curing 银浆烘烤Edgeed n. 边, 棱, 边缘Partialp:l adj. 部分的, 不完全的Mirrormir n. 镜子Missingmisi adj. 失掉的,失踪的,找不到的Edge die / partial die 边缘片 / 边沿芯片Mirror die 光片

26、 / 镜子芯片Missing die 掉芯 / 漏芯 / 掉片Splash spl vt. 使(液体)溅起vi.(液体)溅落Splatter splt vt. & vi. (使某物)溅泼Diagram dairm n. 图解, 简图, 图表Ink splash / ink splatter 墨溅Die bonding diagram 上芯图Die shesr test 推片实验/推晶试验Die shear tester 推片试验机Die shesr tool 推片头Metal corrosion 晶粒腐蚀/芯片腐蚀Wafer mapping system 芯片分级系统System sistm

27、n. 系统; 体系wafer-晶圆 die-芯片 attach-粘贴 glue-银胶substrate-基板 magazine-盒子 inspection-检查 parameter-参数manual-操作手册 reset-重设 enter-确定 error-错误input-输入 speed-速度 stop-停止 pressure-压力vacuum-真空 sensor-传感器 back side-背面 pin-针statistics-统计 calibration-校正 bond-贴片 conversion-改机thickness-厚度 tilt-倾斜度 shape-形状 adjust-调整con

28、tact-接触 cover-覆盖 device-产品 chip-崩边pause-暂停 elevator-升降机 initial-初始化 alignment-校准cassette-盒子 tape-膜 frame-框架 ring-铁圈temperature-温度 rubber tip-吸嘴 frame type-框架型号nozzle-点胶头 writer-划胶头压焊:Wirewai n.金属丝, 金属线;电线, 导线Bondbnd n.接合, 结合vt.使粘结, 使结合Wire bond / Wiring bonding 压焊/焊丝/球焊Gold wire 金 丝Pad pd vt.给装衬垫, 加

29、垫子n.垫,护垫Bond pad 焊点、铝垫1st bond 第一焊点Pad size 焊点尺寸 / 铝垫尺寸Capillarykpilri n.毛细管;毛细血管(劈刀)Pitchpit 程度; 强度; 高度Pad pitch 铝垫间距 / 焊点间距Elongationi:lein n.延长;延长线;延伸率Breakingbreiki n.破坏,阻断Load lud n.负荷; 负担;工作量, 负荷量Breaking Load 破断力Pullpul vt. & vi.拉, 扯, 拔Sheari vt.剪羊毛, 剪n.大剪刀Wire pull / ball pull (焊丝)拉力Wire she

30、ar / ball shear (焊丝)推力Ultrasonic,ltrsnik adj. (声波)超声的Powerpau n.功力, 动力, 功率Forcef:s n.力; 力量; 力气Ultrasonic power 超声功率Bonding force 压力Bonding time 时间Temperaturetemprit n. 温度, 气温Bonding temperature 温度Ultrasonic wire bonding 超声波压焊EFO 打火烧球looplu:p n. 圈, 环, 环状物Loop height 孤高Wire pull test 拉力试验Ball shear te

31、st 金球推力试验PIN 1 第一脚Ball height 球高Ball diameter 球径Crateringkreitri n. 缩孔;陷穴(弹坑)KOH etching test KOH腐蚀试验Bond Cratering test 压焊腐蚀试验(弹坑试验)Thermal:ml adj. 热的,热量的Compressionkmpren n.挤压, 压缩TCB( Thermal Compression Bond) 热压焊Bonding Diagram 压焊图 / 布线图Wrong Bonding 布线错误Incomplete,nkmpli:t adj.不完全的,未完成的Incomplete bond 焊不牢No bonding 无焊N2 BOX 氮气柜RTPC 实时过程监控Traytrei n.盘子, 托盘Handing Tray 产品盘FBI 压焊后目检FBI insp-M/C 压焊检验机Microscopemaikrskup n.显微镜Low Power Microscope 低倍显微镜Fluxflks n.熔剂、焊剂;助熔剂,助焊剂Hookhuk vt. & vi.钩住, 吊住, 挂住Wire pull hook 线钩(测拉力)Ball shear tool 推球头 (测推力)Metalmetl n.金属Discolordiskl v.使脱色;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号