基于FPGA的数字上变频设计毕业设计(论文)说明书.docx

上传人:李司机 文档编号:1614196 上传时间:2022-12-11 格式:DOCX 页数:55 大小:1.04MB
返回 下载 相关 举报
基于FPGA的数字上变频设计毕业设计(论文)说明书.docx_第1页
第1页 / 共55页
基于FPGA的数字上变频设计毕业设计(论文)说明书.docx_第2页
第2页 / 共55页
基于FPGA的数字上变频设计毕业设计(论文)说明书.docx_第3页
第3页 / 共55页
基于FPGA的数字上变频设计毕业设计(论文)说明书.docx_第4页
第4页 / 共55页
基于FPGA的数字上变频设计毕业设计(论文)说明书.docx_第5页
第5页 / 共55页
点击查看更多>>
资源描述

《基于FPGA的数字上变频设计毕业设计(论文)说明书.docx》由会员分享,可在线阅读,更多相关《基于FPGA的数字上变频设计毕业设计(论文)说明书.docx(55页珍藏版)》请在三一办公上搜索。

1、像M林电孑科被丈玲GUILINuniversityofelectronictechnology毕业设计(论文)说明书题目:基于FP基的数字上变频设计院(系):信息与通信学院题目类型:口理论研究口实验研究口工程设计Q工程技术研窕口软件开发摘要数字变频技术在软件无线电和各类数字化发射接收机中得到了广泛应用。由于数字信号处理器(DSP)的处理速度有限,往往难以对A/D采样得到的高速率数字信号直接进行各种类别的实时处理。为了解决这一矛盾,需要采用数字变频技术,将采样得到的高速率信号变成低速率基带信号,以便进行下一步的信号处理。用现场可编程阵列(FPGA)来设计数字上下变频器有许多好处。FPGA在硬件上

2、具有很强的稳定性和极高的运算速度,在软件上具有可编程的特点,在某些专用芯片不能完全满足系统技术指标的情况下,所以采用FPGA来设计数字下变频器更是一种好的解决方案。本文基于数字上变频的基本原理,利用FPGA器件的各种优势,在FPGA开发工具QUartUSn上完成了数字上变频的设计、综合,并最终在MOdeISim上实现了仿真。首先介绍数字上变频及其各模块的原理和实现方法,然后再通过编写VerliogHDL语言及调用IP核来实现各个模块功能,最后通过原理图的构造来整体实现数字上变频。并通过Modelsim的仿真以验证数字上变频的正确性。关键词:数字上变频;FPGA;插值;HB滤波器;ClC滤波器A

3、bstractDigitalFrequencyConversionTechnologyinSoftwareDefinedRadioandvarioustypesofdigitallaunchorreceivershavebeenwidelyused.Asthedigitalsignalprocessor(DSP)processingspeedislimited,oftenitisdifficultforA/Dsamplingratetobehigh-speeddigitalsignaldirectlytothevariouscategoriesofreal-timeprocessing.Ino

4、rdertoresolvethiscontradiction,theneedfordigitalupordownconversiontechnology,high-speedsamplingrateofsignalstobeturnedintoalowrateofbase-bandsignalforthenextstageofsignalprocessing.Withfield-programmablearray(FPGA)tothedesignofdigitaldown-converterhasmanyadvantages.FPGAhardwarehasstrongstabilityandh

5、ighcomputationalspeed,thesoftwarehasprogrammablefeatures,andinsomespecialDDCchiptechnologycannotcompletelymeettheindicators,sothenumberofusedFPGAtodesignthenextconverterisagoodsolution.DigitalUpConverterBasedonthebasicprinciplesoftheuseofthevariousadvantagesofFPGAdevices,developmenttoolsintheFPGAont

6、heQuartus.DUCcompletedthedesign,integration,andultimatelytherealizationofthesimulationinModelsim.Firstintroducedtheprincipleofdigitalupconversionandofeachmodule,andthenVerliogHDLlanguagebywritingandcallingIPnucleartoachieveeachmodulefunction,andfinally,throughtheschematicdiagramofthestructuretotheov

7、erallrealizefrequencyinthefigures.AndthroughthesimulationModelsimtoverifythecorrectnessofthedigitalfrequency.Finally,throughtheModelsimsimulationtoverifytheaccuracyoftheup-conversion.Keywords:DUC;FPGA;interpolate;HBfilter;CICfilter目录引言11绪论21.1 数字变频技术简介21.2 数字上下变频技术的应用发展及现状21.3 课题研究内容及设计方案32FPGA系统设计基

8、础42.1FPGA简介42.2FPGA基本结构52.3FPGA的基本设计流程62.3.1 设计输入方式和软件72.3.2 仿真的方法和软件73数字上变频技术理论基础83.1 数字上变频原理概述83.1.1 数字混频正交变换83.1.2 影响数字上变频性能的主要因素103.1.3 数字上变频的基本原理103.2 多速率信号处理113.2.1 多速率信号处理理论113.2.2 整数倍内插113.3 高效数字滤波器133.4 .1CIC滤波器133. 3.2HB半带滤波器163.4DDS的实现原理174各模块设计实现原理194. 2DDS设计204. 1.1相位累加器205. 1.2正弦查找表214

9、.2内插滤波器设计234.2.1HB半带滤波器设计234.2.2ClC滤波器设计254. 3混频器设计255数字上变频仿真与验证274.1 ModeISinl仿真软件介绍和特点285. 2MOdelSim仿真方法295.2.1前仿真295.2.2后仿真295.3Modelsim仿真的基本步骤295.4仿真结果336结论35谢辞36参考文献37附录38引言近年来,软件无线电理论正逐渐趋于成熟与完善,软件无线电技术已经被越来越广泛地应用于蜂窝通信及各种军用和民用的无线通信系统中。作为软件无线电接收机的核心技术之一,数字上下变频技术也得到了越来越普遍的应用。上变频是将具有一定频率的输入信号,改换成具

10、有更高频率的输出信号。理论上,在软件无线电系统发送端,将已调制好的基带信号通过脉冲成型滤波进行整形、采样抽取等,并经过插值滤波来提高采样速率,之后与本地的数控正交振荡器混频,得到I/Q正交信号,进行数字混频后,再经过数模转换(DAe)后转换成直接中频输出。现场可编程门阵列(FPGA)具有功能强大,开发过程投资小、周期短,可反复编程修改,保密性能好,开发工具智能化等特点,正好充分发挥了软件无线电可编程能力强,易于升级的特点。用FPGA实现数字上变频器的设计,不仅降低了产品成本,减小了设备体积,满足了系统的需要,而且比专用芯片具有更大的灵活性和可控性。随着软件无线电技术理论的发展以及大规模集成电路

11、的广泛使用,原来的模拟系统的基带处理部分采用数字电路逐渐数字化、软件化。数字上变频技术(DigitalUpConverter一DUe)是软件无线电的核心技术之一,也是计算量最大的部分,一般通过FPGA或专用芯片等硬件实现。虽然现在专用的数字下变频芯片品种很多,但是它们在设计和修改方面远远不如FPGA灵活,使用FPGA替代专用的数字上变频芯片更加符合软件无线电的思想。1绪论1.1 数字变频技术简介数字变频技术在软件无线电中占有非常重要的地位。软件无线电是一种以现代通信理论为基础,以数字信号处理为核心,以微电子技术为支撑的新的无线通信体系结构。它的实现是以一个通用、标准、模块化的硬件平台为依托,通

12、过软件编程来完成无线电台的各种功能,从基于硬件、面向用途的电台设计方法中解放出来。软件无线电强调体系结构的开放性和全面可编程性,通过软件的更新改变硬件的配置结构,实现新功能,并有利于硬件模块的不断升级和扩展。目前,软件无线电中所涉及的关键技术主要有带多频段天线与宽带低噪声前置放大器、功率放大器、A/D部分、数字下变频、高速数字信号处理、数字上变频和信令处理等,本文介绍的就是软件无线电中数字上变频器的研究。系统最突出的特点有:首先,具有完全的可编程特性,无线波段、接入方式、调制方式和数据速率等都可以通过软件来加以控制;其次,对整个中频频带甚至射频进行采样,增大了处理的带宽,利用高速DSP和软件处

13、理,完成被传输信号从基带到射频之间的整个处理过程。1.2 数字上下变频技术的应用发展及现状随着近年来现场可编程门阵列(FPGA)器件和通用数字信号处理器(DSP)在芯片逻辑规模和处理速度等方面性能的迅速提高,用硬件编程或软件编程方式实现无线功能的软件无线电技术在理论和实用化上都趋于成熟和完善。软件无线电技术只需通过软件上的更新就能够选择不同的业务或调制方式、追加和修改功能,具有传统硬件方式所无法比拟的灵活性、开放性和可扩展性。因此,软件无线电技术已经被越来越广泛地应用于蜂窝通信及各种军用和民用的无线系统中。软件无线电的核心思想是对天线感应的射频模拟信号尽可能的直接数字化,将其变换为适合DSP器

14、件或计算机处理的数据流,然后通过软件来完成各种功能。在现阶段,由于受各种关键器件,特别是受ADCDAC(模数、数模变换器)采样速率、工作带宽和通用DSP器件处理速度的限制,数字中频软件无线电正成为理想软件无线电的一种经济、适用的折中选择。在目前大多数软件无线电接收机中,一般先经模拟下变频至适当中频,然后在中频用ADC数字化后输出高速数字中频信号,再经数字下变频器(DigitaIDOWnCOnVerteLDDC)的变频、抽取和低通滤波处理之后变为低速的基带信号,最后将基带信号送给通用DSP器件作后续的解调、解码、抗干扰、抗衰落、自适应均衡等处理。这样大大降低了对ADC和DSP器件性能的要求,便于

15、实现和降低成本。数字上变频(DigitalUpConverter-DUC)与下变频是相对应的过程,DSP处理后的基带数字信号经过内插、滤波和上变频后,将信号传给DAC来完成后续的模拟处理环节。数字上下变频器在这里起到ADC/DAC和通用DSP器件之间的桥梁作用。因此,数字上下变频技术己经成为软件无线电接收机的核心技术之一,通用数字上下变频器也被越来越广泛的应用到各种军、民用无线通信设备以及电子战、雷达和信息化家电等领域。自从GrayehiP公司推出第一个单信道数字下变频专用芯片以来,数字上下变频器件的发展也很迅速。目前,最著名、产品应用最广泛的公司有美国的Harris(1999更名为Inter

16、sil公司)、AD公司和Graychip等公司ODDC代表产品有Harris公司的HSP50016,HSP50214系列;AD公司的AD6620,AD6624;Graychip公司的GClOll系列、GC1012系列等.DUC的产品有Harris公司的HSP50215;GrayChiP公司的GC4114等。这些器件都具有较优异的性能参数和较强的功能。许多型号的DDC芯片(如Intersil公司的HSP50214B)事实上其功能己远远不只是下变频,还包括了成形滤波器、定时同步内插滤波器、重采样NC0、坐标变换、数字AGC等功能其芯片内部的各个功能模块均是可编程的,将其与通用DSP器件结合,便可构

17、成一个标准的数字化多模式软件无线电接收机硬件平台。“数字上下变频”在软件无线电中的意义已不再仅仅是简单的上下变频概念了。1.3 课题研究内容及设计方案本课题以变频技术为理论知识,以FPGA技术作为设计的技术手段,通过软件设计并实现数字上变频系统。具体实施起来应该先了解通信原理的相关知识,仿真软件的应用,变频器的原理及设计方法,利用FPGA设计固定参数的上变频器,提出结构框图,对所设计的原理图进行仿真并计算,分析仿真结果多所设计变频器参数进行修正,使之符合要求,最后对上变频器的仿真、调试与完善并测试该变频器的性能指标。本课题的工作流程如下安排,后续章节将围绕该设计步骤顺序对本次课题研究进行详细叙

18、述。(1)首先要理解数字上变频的基本原理,了解通信原理的相关知识。(2)然后确定上变频器的总体实现方案,深入学习并掌握仿真软件的应用,功能和实际实现方法,并思考如何用软件来实现频谱搬移的功能。(3)学习并能够熟练使用EDA工具完成设计流程,采用verilog语言,自行编写各关键功能模块的硬件描述语言程序。并给出仿真波形图,反复对各模块进行改进,以求仿真波形最佳。利用FPGA设计固定参数的上变频器,提出结构框图,对所设计的原理图进行仿真并计算,分析仿真结果;反复对各模块进行改进,对所设计的变频器参数进行修正,以求仿真波形最佳。(4)最后对所设计的上变频器进行仿真、调试与完善,并测试该变频器的性能

19、指标。能够通过上变频器完成频谱的搬移。本文首先概括性的介绍了数字上变频技术的理论基础,第二章对用到的工具FPGA进行了简要的介绍说明;第三章对上变频各个模块的关键技术有正交变换原理、多速率信号处理、高效数字滤波结构以及数控振荡器、混频器进行了一一阐述,第四章是本论文的重点,对上变频的设计思路和具体工作做出了详细的说明介绍;在第五章给出了系统的调试和验证结果。2. FPGA系统设计基础3. 1FPGA简介FPGA(FieldProgrammableGateArray)即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。作为专用集成电路(ASIC)领域中的一种半

20、定制电路,FPGA既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA具有静态可重复编程或在线动态重构特性,使硬件的功能可像软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。利用FPGA,设计人员可以在实验室中设计出专用IC,实现系统的集成,从而大大缩短了产品开发、上市的时间,降低了开发成本。以FPGA为代表的数字系统现场集成技术正朝着低功耗,高频率、高灵活性的方向发展。它不仅为数字电路的设计提供了很大的方便,而且在很大程度上改变了以往数字系统设计、调试、运行的工作方式。首先,它使硬件的设计工作更加简单方便了。因为电

21、路的逻辑功能可以由编程数据设定,而且能在线装入和修改,所以硬件的设计和安装完全可以一次完成,这样就节省了修改硬件电路耗费的人力和物力。而且对几种不同功能的逻辑电路可以采用相同的硬件电路,这也减少了许多硬件设计的工作量。其次,在调试过程中通过写入编程数据很容易将电路设置成各种便于调试的状态,对电路进行测试,这比通过直接设置硬件电路的状态要方便得多。最后,FPGA(一次性编程的除外)技术无需编程器和较高的编程电压,打破了先编程后装配的惯例,形成产品后还可以在系统内反复编程,可以快速有效地设计开发,加快系统预制及器件功能升级,减少电路走线,大大减少设计时间,缩短开发周期。总之,FPGA的使用非常灵活

22、,对于同一片FPGA,通过配置不同的编程数据可以产生不同的电路功能。目前,FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域已经得到了广泛的应用。4. 2FPGA基本结构目前生产FPGA的公司主要有Xilinx、AlteraActeRLatticeQuickLogic等,生产的FPGA品种和型号繁多。尽管这些FPGA的具体结构和性能指标各有特色,但它们都有一个共同之处,即由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块,从而实现不同的设计。典型的FPGA通常包含六部分,分别为可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能

23、单元和内嵌专用硬核等。具体介绍如下:(1)可编程输入/输出单元(I/O单元)目前大多数FPGA的I/O单元被设计为可编程模式,即通过软件的灵活配置,可适应不同的电器标准与I/O物理特性;可以调整匹配阻抗特性,上下拉电阻;可以调整输出驱动电流的大小等。(2)基本可编程逻辑单元FPGA的基本可编程逻辑单元是由查找表(LUT)和寄存器(RegiSter)组成的,查找表完成纯组合逻辑功能。FPGA内部寄存器可配置为带同步/异步复位和置位、时钟使能的触发器,也可以配置成为锁存器。FPGA一般依赖寄存器完成同步时序逻辑设计。一般来说,比较经典的基本可编程单元的配置是一个寄存器加一个查找表,但不同厂商的寄存

24、器和查找表的内部结构有一定的差异,而且寄存器和查找表的组合模式也不同。学习底层配置单元的LUT和Register比率的一个重要意义在于器件选型和规模估算。由于FPGA内部除了基本可编程逻辑单元外,还有嵌入式的RAM、PLL或者是DLL,专用的HardIPCOre等,这些模块也能等效出一定规模的系统门,所以简单科学的方法是用器件的Register或LUT的数量衡量。(3)嵌入式块RAM目前大多数FPGA都有内嵌的块RAM0嵌入式块RAM可以配置为单端口RAM、双端DRAM、伪双端口RAM、CAM、FIFo等存储结构。CAM,即为内容地址存储器。写入CAM的数据会和其内部存储的每一个数据进行比较,

25、并返回与端口数据相同的所有内部数据的地址。简单的说,RAM是一种写地址,读数据的存储单元;CAM与RAM恰恰相反。除了块RAM,Xilinx和Lattice的FPGA还可以灵活地将LUT配置成RAM、ROM、FIFO等存储结构。(4)丰富的布线资源布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。布线资源的划分:一,全局性的专用布线资源:以完成器件内部的全局时钟和全局复位/置位的布线;二,长线资源:用以完成器件Bank间的一些高速信号和一些第二全局时钟信号的布线;三,短线资源:用来完成基本逻辑单元间的逻辑互连与布线;还有其他在逻辑单元内部还有着各种布线资

26、源和专用时钟、复位等控制信号线。由于在设计过程中,往往由布局布线器自动根据输入的逻辑网表的拓扑结构和约束条件选择可用的布线资源连通所用的底层单元模块,所以常常忽略布线资源。其实布线资源的优化与使用和实现结果有直接关系。(5)底层嵌入功能单元(6)内嵌专用硬核与“底层嵌入单元”是有区别的,这里指的硬核主要是那些通用性相对较弱,不是所有FPGA器件都包含硬核。目前绝大部分FPGA都采用查找表(LOOkUpTable,LUT)技术,如Altera的ACEXAPEXCycloneStratix系列,Xilinx的SpartanVirtex系列等。2.3FPGA的基本设计流程FPGA的设计流程就是利用E

27、DA开发软件和编程工具对FPGA芯片进行开发的过程。FPGA的开发流程一般如图22所示,包括电路设计、设计输入、功能仿真、综合优化、综合后仿真、实现与布局布线、时序仿真与验证、板级仿真与验证,以及芯片编程与调试等主要步骤。图2.1FPGA设计流程图2. 3.1设计输入方式和软件设计输入方式主要有两种:原理图设计和硬件描述语言设计。现在主要流行的方式是用硬件描述语言设计(VHDL或VeriIogHDD,而其中在亚洲许多国家和美国主要利用VerilogHDL语言设计,VHDL多是在欧洲和其它地区使用。而对于设计工具来说,这两种语言都是支持的,并且综合出来的模块也是可以混合利用的。对于设计软件,一般

28、是每个FPGA提供商就有一套专门设计用的软件。例如Xilinx公司针对自己产品的ISE9.0设计软件,该软件也包含仿真、综合、时序分析等全部功能。还有Altera公司的QuartusI18.0支持最新的CycloneII系列器件的整个设计流程。此外,LattiCe公司的ispLEVER7.0,FPGAAdvantage等。在本设计中我采用的是Altera公司的QUartUSl18.0。3. 3.2仿真的方法和软件仿真的方法主要有两种:(1)交互式仿真方法:利用EDA工具的仿真器进行仿真,使用方便,但输入输出不便于记录规档,当输入量较多时不便于观察和比较。(2)测试平台法:为设计模块专门设计的仿

29、真程序,可以实现对被测模块自动输入测试矢量,并通过波形输出文件记录输出,便于将仿真结果记录归档和比较。而使用的仿真工具最快的是MOdeITeChnOIogy公司开发的MOdelSim软件。此外仿真的方法还有利用Oiatlab软件与EDA软件进行的联合仿真。Matlab最新版本matlab2007还支持matlab与modelsim的联合仿真,这就大大减轻了测试工程师的工作量。本设计中主要运用了QuartusI18.0中的VerliogHDL语言来编写各模块程序,再通过原理图连接,其中利用了MatIab2007的辅助,最后通过ModClSim6.5进行仿真,具体内容步骤在下文将做出详细介绍。3数

30、字上变频技术理论基础数字变频技术一直是软件无线电的研究重点,也是影响软件无线电系统性能的关键部分之一。3.1 数字上变频原理概述4. 1.1数字混频正交变换任何物理可实现的信号都是实信号,实信号的频谱具有共枕对称性,即正负频率幅度分量是对称的,而其相位分量正好相反。所以对于一个实信号而言,只需其正频部分或负频部分就能够完全加以描述,不会丢失任何信息,也不会产生虚假信号。如只取原实信号的正频部分z(t)(由于z(t)只含有正频分量,故z(t)为复信号),那么就把z(t)做x(t)的解析表示,即:Za)=X(O+H(r)(31)其中Hx(t)叫做信号x(t)的Hilbert变换。即Hx(t)=也明

31、(32)由于Hilbert变换是正交变换,所以解析信号z(t)的实部和虚部是正交的。一个实信号的解析表示(正交分解)在信号处理中有着极其重要的作用,是软件无线电的基础理论之一,从解析信号中很容易获得信号的三个特征参数:瞬时幅度、瞬时相位和瞬时频率,而这三个特征参数是信号分析、参数测量或识别解调的基础。对于一个实的窄带信号:XQ)=a(r)cos69t()+。(33)所以窄带信号的解析表示为:z(f)=cost(0+。+ja(t)sinc(t)-h。(34)用极坐标形式可以表示为:ZQ)=4Q)e/MC=a(t)ejej(3-5)式中e%v称为信号的载频分量,它作为信息载体不含有用信息。将上式乘

32、以e*J,把载频下移3C,得到基带信号(或称为零中频信号),记为分,有:(0=a(t)ejlZb=(r)cos(0+Mr)Sin。=Q)+JQQ)(36)其中I(t)=a(t)cos(t),(r)=(r)sin(r),分别称为基带信号的同相分量和正交分量。基带信号为解析信号的复包络,是复信号,即基带信号既有正频分量,也有负频分量,但其频谱不具有共飘对称性,若随意剔除基带信号的负频分量,就会造成信息丢失。从以上分析可以看出,一个实的窄带信号既可用解析信号z(t)表示,也可用其基带信号(零中频信号)ZM)来表示。上变频是指将信号的频谱搬移到更高的频率上,若待变频信号为xa(t),变频信号Xb用公式

33、表示为:XbQ)=Xaw(37)其中3C为搬移的频率,将基带信号搬到该频率上称为上变频(c为负)。将该式进行数字化,引入满足采样定理的采样周期T,则可以写为:(38)简写为:xb(n)=xa(n)ejf,(39)因为xa(n)-般为复信号,有下式:xtt(zz)=()+(?),通常上变频后的信号只需要取其实数部分就足够了,即xb(n)=Rexa(n)ejwn=/()COS(W(MQ()Sin(VV(M(310)由上式则可得到上变频的原理框图如下:wscn图3.1数字上变频原理框图3.1.2影响数字上变频性能的主要因素模拟上变频器中,模拟混频器的非线性和模拟本地振荡器的频率稳定度、边带、相位噪声

34、、温度漂移、转换速率等都是人们最关心和难以彻底解决的问题。这些问题在数字上变频中是不存在的,频率步进、频率间隔等也具有理想的性能,另外,数字上变频器的控制和配置更新方便等特点也是模拟上变频器无法比拟的。但与模拟上变频相比,数字上变频器的运算速度受硬件电路处理能力的限制,其运算速度决定了DDC的最高输入信号数据率,相应的也限定了ADC的最高采样速率。另外,数字上变频的输入、输出数据精度和内部运算精度也影响着接收机的性能。从数字上变频原理可以看出,影响数字上变频器性能的主要因素有五个:(1)数控本振所产生的正交本振信号的频谱纯度;(2)数字混频器的运算精度;(3)各种滤波器的运算精度(包括二进制表

35、示的滤波器系数的精度);(4)滤波器的阶数;(5)数字变频器的系统处理速度。前三点因素其本质可以归到一点,就是有限字长效应,由于有限字长,带来了数控本振的相位截断效应,也带来了整个DUC器件所有模块的样本值近似效应,根据截断和近似的程度,DUC性能会受到或多或少的影响。要提高DUC的性能,就要加宽运算字长,但字长不可能无限加宽,这就需要在DUC性能和硬件资源开销之间作一个折衷。至于滤波器的阶数,同样涉及到的是硬件资源消耗的问题。在处理速度这个问题上,可以通过利用规模换速度和采用优化算法两种手段提高系统处理速度;总的说来,性能的提高是以资源的消耗为代价的。3.1.3数字上变频的基本原理上变频是将

36、具有一定频率的输入信号,改换成具有更高频率的输出信号。数字上变频器的基本工作原理是:首先将量化后的基带信号通过脉冲成形滤波器进行处理,以适应带限信道和消除码间串扰(ISI),然后通过插值滤波器处理提高采样率,最后与正交载波进行数字混频,按照通信调制基本理论,上变频需要将调制好的信号从基带频率搬移到射频频率。对于基带信号,其带宽般较窄,因此在很多应用场合中都是根据NyqUiSt采样定理,再结合工程实际,采用2.54倍的基带信号最高频率进行采样。而作为载波的DDS输出波形,其频率相对较高。如果用基带信号对载波进行正交调制,即是数字信号的相乘,要求基带和载波具有相同的数据速率。所以,在进行正交调制之

37、前必须对基带数字信号进行插值滤波,提高其数据速率。提高基带信号的数据速率有两种途径:一种是简单的数据保持方法;另一种是严格的插值滤波法。简单的数据保持法是将序列的每个采样点做简单的保持(或重复采样)直到下个采样点到来。这种近似的处理办法相当简单,但效果不佳,只适于数字调制方式或基带信号带宽很窄、对信号精度要求小高的场合。本设计采用严格的插值滤波,先经过零值内插,然后滤波得到。3.2多速率信号处理3.2.1多速率信号处理理论在软件无线电系统中,采样定理的应用大大降低了所需的射频或中频采样速率,为后面的信号实时处理奠定了基础。但是对软件无线电的要求来看,带通采样的带宽应该越宽越好,这样对不同信号会

38、有更好的适应性,而且采样速率越高,在相同工作频率范围内所需的“盲区”采样频率数量就越少,并对提高采样量化的信噪比也是有利的,所以在可能的情况下,带通采样速率应该尽可能地选的高一些,使瞬时采样带宽尽可能的宽。但是随着采样速率的提高带来一个问题就是采样后的数据流速率很高,导致后续的信号处理速度跟不上,所以对A/D处理后的数据流进行降速处理或叫二次采样是完全必要的。多速率信号处理技术为这种降速处理的实现提供了理论依据,其中最为重要的理论是抽取和内插,它们为数字上下变频的成功实现奠定了重要的基础。多速率信号处理是软件无线电系统中的基础理论,它通过内插和抽取改变数字信号的速率,以适应软件无线电系统中不同

39、模块对信号速率的不同要求,是数字下变频和数字上变频的重要技术。本节主要介绍多速率信号处理的基本知识和操作,并总结在数字通信系统中采用多速率信号处理所带来的好处。所谓多速率数字信号处理是指改变信号的采样率,包括抽取和内插两种情况。使信号采样率降低的转换,称为抽取;使信号采样率升高的转换,称为内插。实现采样率的转换(插值和抽取)的关键问题是如何保证实现插值或抽取后,信号所包含的信息不发生失真。可以看出,抽取和插值需要在进行抽取前或插值后对信号进行数字滤波,数字滤波器的性能好坏将直接影响采样率变换的效果以及实时处理能力。本设计中主要用到有关内插的,因此下面将分别对数字变频中多速率滤波器组中常用的CI

40、C滤波器、半带滤波器以及多速率FIR滤波器这几种高效数字滤波器进行介绍和分析。3.2.2整数倍内插内插是抽取的逆过程。所谓整数内插是先在已知采样序列x(n)的相邻两个样点之间等间隔插入L-I个O值点,如图3.3所示,然后进行低通滤波,即可求得L倍内插的结果。图3.2中L表示在x(n)相邻样点之间补L-I个0,成为零值内插器。零值内插后为co(m)o(m)经过h(m)低通滤波变成y(m)。即:m)=x(mL),m=O,L,2L0,其他假设X(n)的离散傅氏变换为X则(m)离散傅氏变换为W(一)=X(*)(312)内插后的信号频谱为原始序列频谱经L倍压缩后得到的谱。信号插值前后频谱的变化如图3.2

41、所示。由图中可见,在内插后的频谱W(e)中不仅含有IX(一)|的基带分量,还含有其频率大于乃/L的高频成分,为了从IW(e”中恢复原始谱,则必须对内插后的信号进行低通滤波(滤波器带宽为/L)。因此,原来插入的零值点变为x(n)的准确内插值,经过内插大大提高了时域分辨率。图3.2信号内插前后波形及其频谱一个完整的I倍内插器的结构框图如下图3.3所示。图3.3完整的1倍内插器方框图3.3高效数字滤波器所谓滤波就是从连续(或离散)输入数据中滤除噪声和干扰以提取有用信息的过程,它不但是软件无线电系统的基础,也是整个数字信号处理的基础理论。滤波器性能的好坏将直接影响系统处理的效果和其实时处理的能力。数字

42、滤波器可以用两种形式来实现,即有限冲激响应滤波器FIR和无限冲激响应滤波器IlR,由于FlR滤波器相对于HR滤波器有许多独特的优越性,如线性相位、稳定性好等特点,所以在本系统设计中主要采用FlR滤波器进行处理。在数字上下变频器中,所实现的很重要的一部分功能就是抽取和内插,这些功能都可以依靠多速率滤波器来完成。常用的多速率滤波器有多速率FIR滤波器,积分级联梳状(ClC)滤波器和半带(HB)滤波器等。多速率滤波器主要作用有三点:抽取(即降低信号速率)、插值(既提高信号速率)和低通滤波。本节主要介绍此次设计所用到的内插CIC和HB滤波器。3.3.1ClC滤波器CIC滤波器(CaSCadeInteg

43、ratorCombFilter),即级联积分梳状滤波器,是一种多采样率的高效窄带低通数字滤波器。CIC滤波器具有结构简单、需要存储量小,不需要乘法和滤波器系数存储,并且通过高速积分环节和低速梳状滤波环节减少了中间过程的存储量等优点。它是一种线性相位低通FlR滤波器,其系数均为1,所以对于CIC滤波器来说,传统卷积的滤波运算只相当于做加法运算,因此使用ClC滤波器可以完成高速内插、抽取和滤波并且实现起来较为简便。CIC滤波器一般由N级积分器(Integrator)和N级梳状滤波器(Comb)两个部分级联组成。积分器部分包含了N级采样率在fs下的理想数字积分器,每级积分器是单极点具有单位反馈系数的

44、R滤波器。单级积分器的差分方程为:y(n)=y(n-l)+x(n)(3-13)其Z域上的传递函数为:Z1(z)=-!-TI-Z(314)积分器的基本结构如图3.4(a)所示。梳状滤波器部分工作在采样率入/R,其中R表示整数改变因子。该部分包含了含有M点差分延迟的N级梳状滤波器,该差分延时用于控制滤波器的频率响应。M可以是任意的整数,但是在实际应用中,一般取值1或2。单级梳状滤波器的差分方程为:y(n)=x(n)-(n-RM)(3-15)其Z域上的传递函数为:HC(Z)=I-Z-RM(316)单级梳状滤波器的基本结构如图3.4(b)所示。图3.4ClC滤波器的基本单元3.5(a)单级积分器结构(

45、b)单级梳状滤波器结构Cic滤波器的幅频特性由图3.5所示可知,单级ClC滤波器的旁瓣电平比较大,只比主瓣低13.46dB,说明阻带衰减很差,一般很难满足实用要求。为了降低旁瓣电平,可以采用多级CIC滤波器级联的办法来解决。假设CIC滤波器是由上述的两个基本单元构成的。如果要构成一个N级的LS呜)L2JL2.器,将N个积分器和N个梳状滤波器级联即可,其Z域响应为:(317)Sa(X)=也X由式(317)可知,CIC滤波器的总的频率响应为:(318)式中,为抽样函数,通过式(3-18)可以求得N级级联CIC滤波器的旁瓣抑制为:ajv=13.46N(JB)(319)从式(319)知,级联级数越多,

46、旁瓣衰减越大。CIC滤波器既可以作为抽取滤波器也可以作为内插滤波器。CIC抽取滤波器首先是级联N个积分器,其采样率为入,经过R倍抽取,然后级联N个梳状滤波器,这时采样速率为入/R。ClC滤波器作为内插器时,首先是级联N个梳状滤波器,其采样率为fsR,经过R倍内插,然后级联N个积分器,这时采样速率为fs。因此,CIC内插滤波器的结构如图3.6所示。图3.6CIC内插滤波器3.3.2HB半带滤波器半带滤波器可以将离散系统的工作频率范围分成对等的两个对称部分,且这种滤波器特别适合实现D=2w(即2的幕次方倍)的抽取或内插,而且运算复杂度低,实时性强,因此在多速率信号处理中有着重要的地位。如图3.7所

47、示,半带滤波器的通带和阻带对称,即通带波动和阻带波动相等;通带的边频和阻带的边频相对于fs/4对称,有Fp+Fs=fs2.为了保证FlR滤波器的线性相位,滤波器的系数具有偶对称性,即要求h(n)=h(NTf),其中N为滤波器的阶数,这里设N为奇数。另外,滤波器系数除了中心点n=(NT)2+l外,所有h(n)的偶次系数均为零。由于半带FIR滤波器系数的对称性和近一半系数为零,使得滤波运算的乘法次数减少了近3/4,加法次数减少了近一半,用于存放滤波器系数的存储器也减少了一半,因而更有利于高效数字信号处理的实时实现。图3.7半带滤波器的幅频特性由于半带滤波器是偶对称、奇次的线性相位FIR滤波器,因而还具有以下三个性质:第一,滤波器的阶数N为奇数;第二,滤波器的冲击响应h(n)为实数;第三,滤波器的幅度函数H(W)为偶函数。将半带滤波器用于2倍抽取时;过渡带中是存在混叠的,但通带中没有混叠。也就是说信号是可以恢复的。但同时要注意的是,由半带滤波器的频率特性己知,它要求:FS=fs2-Fp(320)因此当信号通带FP很小时,这种过渡带对于多级滤波器的最后一级来说往往过大,不能满足滤波特性的总体要求,因此不适合用做多级抽取滤波器的最后一级,即后级滤波器必须有其他类型的FIR滤波器。对于后级FI

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号