基于SOPC的FSK数字调制与解调器.docx

上传人:牧羊曲112 文档编号:1756075 上传时间:2022-12-17 格式:DOCX 页数:43 大小:876.17KB
返回 下载 相关 举报
基于SOPC的FSK数字调制与解调器.docx_第1页
第1页 / 共43页
基于SOPC的FSK数字调制与解调器.docx_第2页
第2页 / 共43页
基于SOPC的FSK数字调制与解调器.docx_第3页
第3页 / 共43页
基于SOPC的FSK数字调制与解调器.docx_第4页
第4页 / 共43页
基于SOPC的FSK数字调制与解调器.docx_第5页
第5页 / 共43页
点击查看更多>>
资源描述

《基于SOPC的FSK数字调制与解调器.docx》由会员分享,可在线阅读,更多相关《基于SOPC的FSK数字调制与解调器.docx(43页珍藏版)》请在三一办公上搜索。

1、湖南工程学院应用技术学院毕业设计论文题 目: 基于SOPC的FSK数字调制与解调器 研究与实现 专业班级: 电子信息工程1281 学生姓名: 杨浩然 学 号: 05 完成日期: 2016年5月24日 指导教师: 贺富朋 讲师 评阅教师: 陈军根 讲师 2016 年 6 月 湖南工程学院应用技术学院毕业设计诚 信 承 诺 书本人慎重承诺和声明:所撰写的基于SOPC的FSK数字调制与解调器是在指导老师的指导下自主完成,文中所有引文或引用数据、图表均已注解说明来源,本人愿意为由此引起的后果承担责任。设计(论文)的研究成果归属本人和学校所有。 学生(签名) 年 月 日基于SOPC的FSK数字调制与解调

2、器研究与实现湖南工程学院应用技术学院毕业设计(论文)任务书 设计(论文)题目: 基于SOPC的FSK数字调制与解调器研究与实现 姓名 杨浩然 专业 电子信息工程 班级 1281 学号 05 指导老师 贺富朋 职称 讲师 教研室主任 陈军根 一、 基本任务及要求: 利用SOPC技术,设计一套FSK数字通信传输系统,要求建立MFSK的SOPC技术实现模型,主要完成2FSK调制器与解调器的编程,并通过软件仿真,且通过硬件实现与测试。重点研究内容:MFSK的实现原理;SOPC实现2FSK调制模型。 二、 进度安排及完成时间:第1-3周 查阅资料、撰写文献综述及开题报告 第4-5周 原理框图、总体方案设

3、计 第6-9周 软、硬件部分设计,调试结果 第 10-11周 撰写毕业设计说明书 第12周 指导老师检查毕业设计说明书 第13周 修改、装订毕业设计说明书、指导老师评阅 第14周 毕业设计答辩 基于SOPC的FSK数字调制与解调器研究与实现目 录摘 要:2ABSTRACT:3第一章 绪论11.1 历史发展:11.2 基本定义11.3 基本原理21.4 主要研究内容2第二章 调制与解调器研究与实现32.1 概述32.2 二进制频移键控(FSK)的调制与解调32.2.1 FSK信号的产生32.2.2 直接调频法32.2.3 频率键控法42.3 FSK信号的解调62.3.1 同步解调法62.3.2

4、包络解调法62.3.3 过零检测法72.4 VerilogHDL和VHDL简介7第三章 系统总体方案设计与 FPGA 设计开发93.1 FPGA 设计流程93.2 调制器设计113.2.1 调制原理113.2.2 调制器子模块设计133.2.3 调制程序173.2.4 调制程序仿真图及注释193.3 解调器设计203.3.1 解调原理203.3.2 解调器子模块设计223.3.3 MFSK解调程序263.3.4 解调程序仿真图及注释28第五章 结论30参考文献31致 谢32基于SOPC的FSK数字调制与解调器研究与实现摘 要:一直以来调制解调器都是学校数字信号调制教学的重点内容。但学生实验室中

5、的2FSK调制与解调器采用整体电路的方式进行设计,电路板体积较大且灵活性较差。而此次毕业设计,按照各部分电路的不同功能,将2FSK调制与解调系统中的电路进行模块化,每个模块都设计出参数各异的小模块电路。关于信号的调制,有两种常用的方法,分别是直接调制和间接调制,其中间接调制则采用频移键控方法,直接调频则采用压控振荡法。信号的解调总体也可以分为两种方式,相关解调和非相干解调。在本次毕业设计当中,非相干解调使用了过零检测法,相干解调则采用了锁相解调法。使得用户在使用时,可根据需求,选择相应的模块进行拼接,从而完成不同方式、参数的2FSK调制解调器,这比传统的2FSK调制与解调器更加灵活和实用,也能

6、使学生的动手能力得到很好的锻炼。关键词:数字调制与解调;调制与解调方式;FSK;SOPCBased on the SOPC FSK digitalmodulation and modemABSTRACT:Modulation technology can be divided into analog modulation technology and the digital modulation technology, the main difference is: analog modulation is for continuous modulation of carrier freque

7、ncy shift keying (2FSK) and phase-shift keying (2FSK) three ways, signal of certain parameters, at the receiving end of carrier signal modulation parameters for valuation, and the digital modulation is with some discrete state to represent the carrier signal transmitted information, only to the carr

8、ier signal at the receiving end of discrete modulation parameters for testing. And analog modulation system of amplitude modulation, frequency modulation and phase modulation, digital modulation system has amplitude keying (2FSK), including phase shift keying modulation method have strong ability to

9、 resist noise.Keywords:Digital modulation; demodulation method; FSK; SOPC第一章 绪论1.1 历史发展:Modem起初是为1950年代的半自动地面防空警备系统(SAGE)研制,用来连接不同基地的终端,雷达站和指令控制中心到美国和加拿大的SAGE指挥中心。SAGE运行在专用线路上,但是当时两端使用的设备跟今天的Modem根本不是一回事。IBM是SAGE系统中计算机和Modem的供货商。几年后美国航空(American Airlines)的首席执行官(CEO)与IBM一位区域经理的一次会晤促成了mini-SAGE这种航空自动订

10、票系统。在这系统中,一个位于票务中心的终端连接在中心电脑上,用来管理机票有效性和时间。这个系统,叫做Sabre,是今天SABRE系统的早期原型。1960年代早期,商业计算机的应用逐渐普及,以及上述技术成果,1958年 AT&T 发布了第一个商业化modem, Bell 103. 使用两个音调表示1和0的移频键控技术,103已经能够实现300 bit/s的传输速度。很短时间后继版本Bell 212就研制出来,转移到更稳定的移项键控技术把数据速率提高到1200 bit/s。类似Bell 201的系统用双向信号集在4对专用线路上实现了2400 bit/s。贺氏智能Modem是一个重大的进步,1981

11、年贺氏通讯研制成功。智能Modem是一个简单的300bpsModem,使用的是Bell103信令标准,内置了一个小型控制器,可以让计算机发送命令来控制电话线,例如摘机,拨号,重拨,挂机等功能。在智能Modem之前,几乎所有的Modem都需要两个步骤来产生一个连接:第一步,人工在电话机上拨叫对方的号码,然后将听筒放在Modem附带的acoustic coupler里,一个用两个橡胶杯组成的用来在声音信号和电信号之间转换的设备。使用智能Modem就不再需要acoustic coupler,而是直接将modem连接在标准电话线或插座上。然后电脑就能自动完成接通电话并拨叫号码的功能。1.2 基本定义调

12、制解调器是Modulator(调制器)与Demodulator(解调器)的简称,中文称为调制解调器(港台称之为数据机),根据Modem的谐音,亲昵地称之为“猫”。它是在发送端通过调制将数字信号转换为模拟信号,而在接收端通过解调再将模拟信号转换为数字信号的一种装置。所谓调制,就是把数字信号转换成电话线上传输的模拟信号;解调,即把模拟信号转换成数字信号。合称调制解调器。调制解调器的英文是MODEM,它的作用是模拟信号和数字信号的“翻译员”。电子信号分两种,一种是模拟信号,一种是数字信号。我们使用的电话线路传输的是模拟信号,而PC机之间传输的是数字信号。所以当你想通过电话线把自己的电脑连入Inter

13、net时,就必须使用调制解调器来翻译两种不同的信号。连入Internet后,当PC机向Internet发送信息时,由于电话线传输的是模拟信号,所以必须要用调制解调器来把数字信号翻译成模拟信号,才能传送到Internet上,这个过程叫做调制。当PC机从Internet获取信息时,由于通过电话线从Internet传来的信息都是模拟信号,所以PC机想要看懂它们,还必须借助调制解调器这个“翻译”,这个过程叫作“解调”。总的来说就称为“调制解调”。1.3 基本原理一般人的语音频率范围是3003400Hz,为了进行话音信号在普通的电话系统中传输,在线路上给它分配一定的带宽,国际标准取4KHz为一个标准话路

14、所占用的频带宽度。在这个传输过程中:语音信号以3003400Hz频率输入,发送方的电话机把这个语音信号转变成模拟信号,这个模拟信号经过一个频分多路复用器进行变化,使得线路上可以同时传输多路模拟信号,当到达接收端以后再经过一个解频的过程把它恢复到原来的频率范围的模拟信号,再由接收方电话机把模拟信号转换成声音信号。计算机内的信息是由“0”和“1”组成数字信号,而在电话线上传递的却只能是模拟电信号。不采取任何措施利用模拟信道来传输数字信号必然会出现很大差错(失真),故在普通电话网上传输数据,就必须将数字信号变换到电话网原来设计时所要求的音频频谱内(即300Hz3400Hz)。调制就是用基带脉冲对载波

15、波形某个参数进行控制,形成适合于线路传送的信号。解调就是当已调制信号到达接收端时,将经过调制器变换过的模拟信号去掉载波恢复成原来的基带数字信号。采用调制解调器也可以把音频信号转换成较高频率的信号和把较高频率的信号转换成音频信号。所以调制的另一目的是便于线路复用,以便提高线路利用率。基于载波信号的三个主要参数,可以把调制方式分为三种:调幅、调频和调相。1.4 主要研究内容利用SOPC技术,设计一套FSK数字通信传输系统,要求建立MFSK的SOPC技术实现模型,主要完成2FSK调制器与解调器的编程,并通过软件仿真,且通过硬件实现与测试。重点研究内容:MFSK的实现原理;SOPC实现2FSK调制模型

16、。第二章 调制与解调器研究与实现2.1 概述随着电子计算机的普及,数据通信技术正在迅速发展。数字频率调制是数据通信中常见的一种调制方式。频移键控(FSK)方法简单,易于实现,并且解调不须恢复本地载波,可以异步传输,抗噪声和抗衰落性能也较强。因此,FSK调制技术在通信行业得到了广泛地应用,并且主要适用于用于低、中速数据传输。数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK信号便是符号“1”对应于载频f1,而符号“0”对应于载频f2(与f1不同的另一载频)的已调波形,而且f1与f2之间的改变是瞬间

17、完成的。wqw+=设计目的:熟练地掌握matlab在数字通信工程方面的应用;了解信号处理系统的设计方法和步骤;理解2FSK调制解调的具体实现方法,加深对理论的理解,并实现2FSK的调制解调,画出各个阶段的波形;学习信号调制与解调的相关知识;通过编程、调试掌握matlab软件的一些应用,掌握2FSK调制解调的方法。2.2 二进制频移键控(FSK)的调制与解调频移键控(FSK)使用不同频率的载波来传送数字信号,用书自己带信号控制载波信号的频率。二进制频移键控用两个不同频率的载波来代表数字信号的两种电平。接收端收到不同的载波信号在进行逆变换成为两个数字信号,完成信息传输过程。 2.2.1 FSK信号

18、的产生FSK信号的产生有两种方法:直接调频法和频率键控法。2.2.2 直接调频法直接调频法使用数字基带信号来直接控制载频振荡器的振荡频率的。图2.1所示是直接调频法的具体电路之一。二极管VD1、VD2的导通与截止受数字基带信号的控制,当基带信号为负时(相当于“0”码),VD1、VD2导通,C1经VD2与LC槽路关联,使振荡频率降低(设此时频率为f1),当基带信号为正时(相当于“1”码),VD1,VD2截止,C1不并入槽路,振荡频率提高(设为f2),从而实现了调频。图 2.12.2.3 频率键控法频率键控法也称频率选择法,图2.2是它实现的原理图。他有两个独立的振荡器,数字基带信号控制转换开关,

19、选择不同频率的高频震荡信号实现FSK调制。图 2.2键控法产生的FSK信号频率稳定度可以做的很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是 ufsk (t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的状况。在图2.3中,与非门3和4起到了转换开关的作用。当数字基带信号为1时,与非门4打开,f1输出;当数字基带信号为0时,与非门3打开,f2输出,从而实现了FSK调制。图 2.3键控法也常常利用数字基带信号去控制可变分频器的分频来改变输出载波频率,从而实现FSK调制图2.4是一个11/

20、13可控分频器原理图。当数字基带信号为1时,第四级双稳态电路输出的反馈脉冲被加到第一级和第二级双稳态电路上,此时分频比为13;当基带信号为0时,第四级双稳态电路输出的反馈脉冲被加到第一季和第二级双稳态电路上,分频比变为11.由于分频比改变,使输出信号频率变化,从而实现FSK调制。采用可变分频器产生的FSK信号相位通常是连续的,因此在基带信息变化时 好,FSK信号会出现过渡频率。为减小过渡时间,可变分频器应工作于较高的频率,而在可变分频器后再插入固定分频器,是输出频率满足FSK信号要求的频率。图 2.4FSK信号有相位不连续和相位连续两种情况,相位不连续的FSK信号可以视为两个频率,分别为f1和

21、f2的ASK信号叠加,如图2.5所示。图 2.52.3 FSK信号的解调数字频率键控(FSK)信号常用的解调方法有很多种,如同步解调法、过零检测法和差分检波法等。2.3.1 同步解调法同步解调中,FSK信号解调原理方框图如图2.6所示。图 2.6从图2.6可见,FSK信号的同步解调器分成上下两个支路,输入的FSK信号经过f1和f2两个带通滤波器后变成了上下两路ASK信号,之后其解调原理与ASK类似蛋判决需通过对上下两支路比较来进行。假设上支路低通滤波器输出为x1,下支路低通滤波器输出为x2,则判决标准是:当输入的FSK信号振荡频率为f1时,上支路经带通后有正弦信号Acos2f1t存在,与ASK

22、系统接收到1码时情况相似,经过低通滤波器,x1-x2=A-00,按判决准则应判输入为f2.因此可以判决出FSK信号。2.3.2 包络解调法FSK信号包络解调方框图如图2.7所示。从图2.7可见,FSK信号包络解调相当于两路ASK信号包络解调。用两个窄带的分路滤波器分别滤出频率为f1及f2的高频率脉冲,经过包络检波后分别取出它们的包络。把两输出同时送到抽样判决器比较,从而判决输出基带数字信号。图 2.7设频率f1代表数字信号1;f2代表0,则抽样判决器的判决准则式中,x1,x2分别为抽样时刻两个包络检波器的输出值。这里的抽样判决器,要比较x1,x2的大小,或者说把差值x1-x2与零电平进行比较。

23、因此,有时称这种比较判决器的判决门限为零电平。当FSK信号为f1时,上支路相当于ASK系统接收1码的情况,其输出x1为正弦波加窄带高斯噪声的包络,他服从莱斯分布;而下支路相当于ASK系统接收0码的情况,输出x2为上支路输出的瞬时值服从瑞利分布。如果FSK信号为f2,上下两支路的情况正好相反,此时上支路输出的瞬时值服从瑞利分布,下支路输出的瞬时值服从莱斯分布。由以上分析可知,无论输出的信号是f1还是f2,两路输出总是一路为莱斯分布,另一路为瑞利分布。而判决准则仍不变,因此可抽样判决出FSK信号。2.3.3 过零检测法过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信号频率的。输入的

24、uFSK信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向尖脉冲,然后整流得到单向尖脉冲,每个尖脉冲表示信号的一个过零点,尖脉冲的重复频率就是信号频率的两倍。将尖脉冲去出发一个单稳点路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入信号频率成正比。所以经过低通滤波器输出的平均分量的变化反映了输入信号频率的变化,这样就把码元01在幅度上区分开来,恢复出数字基带信号。2.4 VerilogHDL和VHDL简介VerilogHDL和VHDL是目前世界上最流行的两种硬件描述语言(HDL:HardwareDescriptionLanguage),均为IEEE标准,被广泛地应

25、用于基于可编程逻辑器件的项目开发。二者都是在20世纪80年代中期开发出来的,前者由GatewayDesignAutomation公司(该公司于1989年被Cadence公司收购)开发,后者由美国军方研发。HDL语言以文本形式来描述数字系统硬件结构和行为,是一种用形式化方法来描述数字电路和系统的语言,可以从上层到下层来逐层描述自己的设计思想。即用一系列分层次的模块来表示复杂的数字系统,并逐层进行验证仿真,再把具体的模块组合由综合工具转化成门级网表,接下去再利用布局布线工具把网表转化为具体电路结构的实现。目前,这种自顶向下的方法已被广泛使用。概括地讲,HDL语言包含以下主要特征:1HDL语言既包含

26、一些高级程序设计语言的结构形式,同时也兼顾描述硬件线路连接的具体结构。?通过使用结构级行为描述,可以在不同的抽象层次描述设计。HDL语言采用自顶向下的数字电路设计方法,主要包括3个领域5个抽象层次。2HDL语言是并行处理的,具有同一时刻执行多任务的能力。这和一般高级设计语言(例如C语言等)串行执行的特征是不同的。3HDL语言具有时序的概念。一般的高级编程语言是没有时序概念的,但在硬件电路中从输入到输出总是有延时存在的,为了描述这一特征,需要引入时延的概念。HDL语言不仅可以描述硬件电路的功能,还可以描述电路的时序。2.1.1VerilogHDL语言的历史1983年,GatewayDesignA

27、utomation(GDA)硬件描述语言公司的PhilipMoorby首创了VerilogHDL。后来Moorby成为VerilogHDL-XL的主要设计者和Cadence公司的第一合伙人。1984至1986年,Moorby设计出第一个关于VerilogHDL的仿真器,并提出了用于快速门级仿真的XL算法,使VerilogHDL语言得到迅速发展。1987年Synonsys公司开始使用VerilogHDL行为语言作为综合工具的输入。1989年Cadence公司收购了Gateway公司,VerilogHDL成为Cadence公司的私有财产。1990年初,Cadence公司把VerilogHDL和Ve

28、rilogHDL-XL分开,并公开发布了VerilogHDL。随后成立的OVI(OpenVerilogHDLInternational)组织负责VerilogHDL的发展并制定有关标准,OVI由VerilogHDL的使用者和CAE供应商组成。1993年,几乎所有ASIC厂商都开始支持VerilogHDL,并且认为VerilogHDL-XL是最好的仿真器。同时,OVI推出2.0版本的VerilongHDL规范,IEEE则将OVI的VerilogHDL2.0作为IEEE标准的提案。1995年12月,IEEE制定了VerilogHDL的标准IEEE1364-1995。目前,最新的Verilog语言版

29、本是2000年IEEE公布的Verilog2001标准,其大幅度地提高了系统级和可综合性能。2.1.2VerilogHDL的主要能力VerilogHDL既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互连的结构模块。这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。此外,VerilogHDL语言还有一个重要特征就是:和C语言风格有很多的相似之处,学习起来比较容易。2.1.3VerilogHDL和VHDL的区别VerilogHDL和VHDL都是用

30、于逻辑设计的硬件描述语言。VHDL在1987年成为IEEE标准,VerilogHDL则在1995年才成为IEEE标准,这是因为前者是美国军方组织开发的,而后者则是从民间公司转化而来,要成为国际标准就必须放弃专利。相比而言,VerilogHDL具有更强的生命力。VerilogHDL和VHDL的相同点在于:都能形式化地抽象表示电路的行为和结构;支持逻辑设计中层次与范围的描述;可以简化电路行为的描述;具有电路仿真和验证机制;支持电路描述由高层到低层的综合转换;与实现工艺无关;便于管理和设计重用。但VerilogHDL和VHDL又有各自的特点,由于VerilogHDL推出较早,因而拥有更广泛的客户群体

31、、更丰富的资源。VerilogHDL还有一个优点就是容易掌握,如果具有C语言学习的基础,很快就能够掌握。而VHDL需要Ada编程语言基础,一般需要半年以上的专业培训才能够掌握。传统观点认为VerilogHDL在系统级抽象方面较弱,不太适合特大型的系统。但经过Verilog2001标准的补充之后,系统级表述性能和可综合性能有了大幅度提高。当然,这两种语言也仍处于不断完善的过程中,都在朝着更高级描述语言的方向前进。第三章 系统总体方案设计与 FPGA 设计开发 3.1 FPGA 设计流程 FPGA 的设计流程即使用编程工具和相应 EDA(电子设计自动化)开发软件对FPGA 芯片进行设计开发的一个过

32、程。一个 FPGA 完整设计流程包括的主要步骤有:功能定义/器件选型、电路设计输入、功能仿真、逻辑综合、综合后仿真、实现与布局布线、布线后时序仿真、板级仿真与验证及芯片编程与调试等。一个 FPGA的完整设计流程如图 3-1 所示。1. 功能定义/器件选型 在一个 FPGA 设计项目开始前,首先要进行系统功能的定义和设计模块的划分,根据项目任务的要求,综合考虑 FPGA 芯片本身的各种资源、工作速度和芯片成本等因素,来选择合适的 FPGA 芯片和合理的设计方案。一般采用自顶向下的设计方法,把系统由上至下逐层次地划分为若干设计模块,直到划分为底层的基本单元为止。2. 电路设计输入 FPGA 设计开

33、发人员将所设计的电路功能描述输入 FPGA 开发软件。设计输入方法有:硬件描述语言(HDL)、原理图和状态机输入等。由于 HDL 输入法采用自顶向下模块化设计,输入效率高,可移植和通用性好,易于维护等突出优势,应用最广,在实际开发中主流应用的硬件描述语言有 VHDL 和 Verilog HDL。图表 3.13. 功能仿真 电路设计完成后,需要在编译前对所设计的电路进行逻辑功能验证,以验证电路功能是否符合设计要求。此时的功能仿真又称为前仿真,是不包含各种延迟信息,仅对逻辑功能进行验证的一种仿真。在仿真过程中发现错误,需要及时返回修改设计。功能仿真是整个系统设计中,非常关键的一环。常用的仿真工具有

34、:Mentor 公司的 Modelsim,Sysnopsys 公司的 VCS 等。4. 逻辑综合逻辑综合是指将 HDL 语言或原理图等较高抽象层次的描述,编译转换为底层与、或、非门和触发器等基本逻辑单元构成的逻辑连接网表(Netlist)的过程。经逻辑综合后产生的并非真实门级电路,需经布局布线后得到具体真实的门级电路。常用的综合工具有:Sysnopsys 公司的 Synplify/Synplify Pro,及 FPGA 厂商自己的综合工具软件,如 Xilinx 公司开发软件 ISE 中集成的 XST。5. 综合后仿真 综合后仿真是为检查综合结果是否符合设计要求,由于综合工具的日益成熟,一般的设

35、计也可省略这一步。综合后仿真会将综合生成的标准延时文件反标注到仿真模型中,因此综合后仿真可估计门延时,但还不能估计线延时。 在功能仿真中介绍的仿真工具,也支持综合后仿真。6. 实现与布局布线 设计实现是将综合得到的逻辑连接网表通过 FPGA 厂商的开发软件,映射到具体型号 FPGA 芯片上的过程。Xilinx FPGA 的实现过程可分为:翻译(Translate)、映射(Map)和布局布线(Place & Route)三个步骤。在实现的过程中,需要添加用户约束,如管脚约束和时序约束。在实现完成后,软件工具会生成各种报告,如时序报告,资源使用情况报告等,用户需要仔细检查相关报告。由于 FPGA

36、芯片的内部结构只有 FPGA 厂商最为了解,因此实现不使用第三方工具软件,必须选择 FPGA 厂商提供的工具软件。常用的实现工具有:Xilinx 公司的 ISE,及 Altera 公司的 Quartus。7. 时序仿真 时序仿真,是通过将布局布线得到的各种延时信息反注解到设计网表中,来检查电路设计中有无时序违规。时序仿真包含门延时、线延时等各种延时信息,通过时序仿真来检测电路设计是否满足时序约束条件及器件的建立、保持时间等时序规则,以消除电路中可能存在的竞争冒险及时序不稳定现象,是非常重要的。在功能仿真中介绍的仿真工具,都能够有效支持时序仿真。8. 板级仿真与验证 一般认为,当系统工作频率超过

37、 50MHz 时,就会遇到信号完整性问题,同时随着系统和器件工作频率的不断提升,信号完整性的问题会愈发显著。因此对于高速电路设计,还需要考虑 PCB 设计可能遇到的信号完整性及电磁干扰等问题,采用第三方工具进行板级仿真与验证。低速电路设计可以省略此步骤。9. 芯片编程与调试 FPGA 的最后一个设计步骤就是芯片编程与调试。实现完成后产生相应配置文件,将配置文件的编程数据加载到 FPGA 芯片中,完成芯片编程后,在实际硬件环境下进行测试调试。3.2 调制器设计 3.2.1 调制原理3.2.1.1 二进制相位键控信号的调制原理相位键控又称为相移键控或移相键控,由于它在抗躁声性能上优于ASK和FSK

38、,而且信道频带利用率可做得较高,因此被广泛应用于数字通信中。二进制键控就是利用二进制数字基带信号去控制载波信号的相位,使载波的相位发生跳变的制方式。根据用载波相位表示数字信息的方式不同,相位键控又分为绝对移相键控和相对移相键控两种。绝对移相键控(PSK-一PhaseshiftKeying),是利用已调信号中载波的不同相位直接来表示数字基带信号。在二进制绝对移相(简记为ZPSK或BPSK一BinaryPS勒中,数字基带信号为“1”码时,己调信号与未调载波同相;数字基带信号为,0,时,已调信号与未调载波反相。载波的相位随调制信号1或O而改变,通常用相位O和兀来分别表示二进制数字基带信号的1或O。二

39、进制相移键控已调信号的时域表达式为:式中:这种以载波的不同相位直接去表示相应数字信息的相位键控,通常被称为绝对移相方式。ZPSK信号产生的直接调相法其调制原理图如图3.2所示。图 3.2直接调相法是先将单级性数字基带信号转换为双级性数字基带信号,然后用双级性数字基带信号与载波直接相乘来实现。ZPSK信号可以看作是双级性数字基带信号作用下的数字调幅信号。ZPSK信号可以看成是双级性全占空数字基带脉冲信号与载波相乘的结果。ZPSK波形相位是相对于未调载波相位而言的,必须与未调载波比较才能看出它所表示的数字信息。ZPSK信号的波形图如下图3.3。图 3.33.2.1.2 正交调制原理调制信号控制载波

40、的某一个(或几个)参数,使这个参数按照调制信号的规律而变化的过程叫做调制。载波可以是正弦波或脉冲序列,以正弦型信号作为载波的调制叫做连续波调制。对于连续波调制,已调信号的数字表达式为:调制信号可以分别“寄生”在己调信号的振幅、频率和相位中,相应的调制就是调幅、调频及调相这三大类熟知的调制方式。由于频率和相位有着一定的关系,为便于分析,可将上式改写为:所以:式中:这就是调制信号中同相和正交的两个分量。在当代通信中,通信信号的种类很多,但是从理论上来说,各种通信信号都可以用正交调制的方法加以实现。正交调制的实现框图如图3.4所示。图 3.4根据图3.3,可以写出正交调制实现的时域表达式由于各种调制

41、信号都是在数字域实现的,因此,在数字域实现时要对上式进行数字化。3.2.2 调制器子模块设计3.2.2.1 相位加法器 相位加法器,通过改变输入的相位控制字 P,可以改变 DDS 输出合成信号的相位参数。相位加法器的实现结构如图 3.5所示。图 3.5相位加法器的字长与相位加法器的字长相同均为 N=14,当相位控制字 P(即图中信号 phase_set)由 0 变为 S(S0)时,相位累加器输出(图中信号 phase)与相位控制字 P 相加之和输出给波形存储器,会使得输出幅度信号值的相位加14/ 2NS=,从而使最后输出的信号产生相移。需要注意控制 phase_set 与 phase相加后,不

42、能产生溢出。本论文的 FSK 数字调制系统对相位的调节不是必须的信号phase_set 默认为零值。3.2.2.2 波形存储器 对于一个周期的正弦波或余弦波连续信号,通过在其相位轴方向上以等量相位间隔对信号进行相位-幅值取样,即可得到一个周期的正弦波或余弦波信号多个取样点处的离散相位幅值序列。根据合成波形的精度要求,把一个周期的正弦波或余弦波连续信号模拟幅值进行量化,转换成一系列离散的二进制编码表示的数字量。对于 N 位寻址的波形存储器 ROM,相当于把0 2 相位的正弦波或余弦波信号离散成具有2N个样点的幅值序列,若 ROM 存储的数据位数为 L,则2N个样点量化后的正弦波或余弦波幅值以 L 位二进制数值固化在 ROM 中。输入相位地址,即可从 ROM 中立即查找得到对应的正弦波或余

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号