多进制数字振幅调制(MASK)系统ppt课件.ppt

上传人:小飞机 文档编号:1901081 上传时间:2022-12-24 格式:PPT 页数:17 大小:131KB
返回 下载 相关 举报
多进制数字振幅调制(MASK)系统ppt课件.ppt_第1页
第1页 / 共17页
多进制数字振幅调制(MASK)系统ppt课件.ppt_第2页
第2页 / 共17页
多进制数字振幅调制(MASK)系统ppt课件.ppt_第3页
第3页 / 共17页
多进制数字振幅调制(MASK)系统ppt课件.ppt_第4页
第4页 / 共17页
多进制数字振幅调制(MASK)系统ppt课件.ppt_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《多进制数字振幅调制(MASK)系统ppt课件.ppt》由会员分享,可在线阅读,更多相关《多进制数字振幅调制(MASK)系统ppt课件.ppt(17页珍藏版)》请在三一办公上搜索。

1、8.12多进制数字振幅调制(MASK)系统,多进制数字振幅调制(MASK),多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。,左图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息 00、01、10、11 进行振幅调制。,已调波一般可表示为,g(t)是高度为1、宽度为TS的矩形脉冲,且有为易于理解,将波形上图 所示。显然图(c)中各波形的叠加便构成了图(b)的波形。由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。那么,MASK信号的功率谱便是 M

2、个二进制ASK信号功率谱之和。因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。所以其带宽,MASK信号的产生,MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。,M进制振幅调制方框图,实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。不同之处是在发信输入端增加了2M电平变换,相应在接收端应有M2电平变换。,另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M2电平变换合成一个部件,它的原理类似于A D变

3、换器。多电平解调与二进制解调相似,可采用包络解调或同步解调。多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。(2)当码元速率相同时,多进制振幅调制带宽与二进制相同。(3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。(4)多进制振幅调制不能充分利用发信机功率。,MASK调制电路VHDL程序与仿真,MASK调制方框图,FPGA,MASK调制电路符号,MASK调制VHDL程序与仿真,-文件名:MASK-功能:基于VHDL硬件描述语言,对基带信号进行MASK调制-说明:这里MASK中的M为4-最后修

4、改日期:2004.2.13library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MASK isport(clk :in std_logic; -系统时钟 start :in std_logic; -开始调制信号 x :in std_logic; -基带信号 y :out std_logic_vector(7 downto 0); -8位DAC数据end MASK;architecture behav of MASK is,signa

5、l q:integer range 0 to 7; -计数器signal qq:integer range 0 to 3; -计数器signal xx:std_logic_vector(3 downto 0); -并行数据寄存器signal yy:std_logic_vector(7 downto 0); -8位DAC数据寄存器begin,rocess(clk) -此进程完成基带信号的串并转换, -完成4位并行数据到8位DAC数据的译码beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=1;xx(3)=x; if xx(3)=1 then yy=xx,rocess(clk) -对8位DAC数据进行ASK调制beginif clkevent and clk=1 then if start=0 then qq=0; elsif qq2 then qq=qq+1;y=00000000; elsif qq=2 then qq=3;y=yy; else qq=0; end if;end if;end process;end behav;,MASK调制程序仿真图及注释,(MASK调制VHDL程序仿真仿真全图),(MASK调制VHDL程序仿真局部放大图),

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号