半导体设备及材料行业深度研究报告:国产加速.docx

上传人:李司机 文档编号:1989452 上传时间:2022-12-29 格式:DOCX 页数:98 大小:1.22MB
返回 下载 相关 举报
半导体设备及材料行业深度研究报告:国产加速.docx_第1页
第1页 / 共98页
半导体设备及材料行业深度研究报告:国产加速.docx_第2页
第2页 / 共98页
半导体设备及材料行业深度研究报告:国产加速.docx_第3页
第3页 / 共98页
半导体设备及材料行业深度研究报告:国产加速.docx_第4页
第4页 / 共98页
半导体设备及材料行业深度研究报告:国产加速.docx_第5页
第5页 / 共98页
点击查看更多>>
资源描述

《半导体设备及材料行业深度研究报告:国产加速.docx》由会员分享,可在线阅读,更多相关《半导体设备及材料行业深度研究报告:国产加速.docx(98页珍藏版)》请在三一办公上搜索。

1、一、半导体设备:大陆需求快速增长,国产替代加速1.1 全球设备市场创新高,受益于资本开支提升、制程节点进步2021年全球半导体设备市场规模创1026亿美元新高,大陆首次占比全球第-O根据SEML2021年半导体设备销售额1026亿美元,同比激增44%,全年销售额创历史新高。大陆设备市场在2013年之前占全球比重为10%以内,20142017年提升至1020%,2018年之后保持在20%以上,份额呈逐年上行趋势。2020-2021年,国内晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,2021达到296.2亿美元,同比增长58%,占比28.9%。展望2022年,存储需

2、求复苏,韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。北美半导体设备厂商月销售额2021年以来稳站30亿+美金。通过复盘半导体行业景气周期历史,我们认为北美半导体设备厂商月销售额对于全球半导体行业景气度分析具有重要意义,北美半导体设备销售额水平通常领先全球半导体销售额一个季度。2021年1月,北美半导体设备厂商月销售额首次突破了30亿美金关口,创历史新高,达到了30.4亿美金。此后月度销售额逐季创新高,至12月份销售额达到39.2亿美金,同比增长46%。与此同时我们看到全球半导体销售市场自2021年4月以来连续12个月同比增速超过20%,2022年3月,全球半导体销售额达到505.8

3、亿美金,同比增长23.0%,展望2022全年,从各机构当前预测平均值来看,预计2022年全球半导体市场仍将保持10%以上同比增长。图表5:北美半导体设备月销售额(亿美元)2017-012017-092018-05 2019-01 2019-09 2020-05 2021-01 2021-0980.0%60.0%40.0%20.0%0.0%-20.0%-40.0%半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。2017年,存储厂商的大幅资本开支推动半导体设备迎来巨大需求,且这一势头一直延续到2018年上半年。但随后产能过剩致使存储价格走低,导致DRAM和NAND厂商纷纷推迟设

4、备订单。存储产能过剩一直持续到2019年上半年,同时上半年整体半导体行业景气度不佳,虽然下半年随着行业景气度恢复,以台积电为代表的晶圆厂陆续调高资本开支大幅扩产,2019年全年半导体设备需求同比仍回落约2%。2020年全球各地先后受疫情影响,但存储行业资本支出修复、先进制程投资叠加数字化、5G带来的下游各领域强劲需求,全年设备市场同比增长19%o伴随半导体厂商新一轮资本开支开启,2021年全球设备市场继续大幅增长44%。当前海外设备龙头应用材料、泛林集团等均预计2022年全球设备市场规模将进一步增长。下游资本开支提升,半导体设备周期向上。伴随着下游资本开支提升,设备厂商营业收入增速从2019Q

5、2触底后逐渐回暖。2020Q1由于疫情冲击,产品发货推迟,导致单季度收入增速下调。复盘2021年,海外龙头全年营收高增速:202IQl:低基数高增长,北美设备出货在202101首次单月超30亿美元。设备厂商营业收入增速从2019Q2触底后逐渐回暖。2020Q1由于疫情冲击,产品发货推迟,导致单季度收入增速下调。以ASML为例,疫情后营收增速恢复,202IQl半导体设备营收增速更是达到95.1%,ASML表示下游对于先进的光刻设备需求有增无减。图息9:举导体设备市场增速网期状2021Q2:晶圆制造设备龙头展望2022年需求强劲,核心设备在手订单超过一年。ASML单季度收入40亿欧元,新增83亿欧

6、元订单(其中EUV为49亿欧元)。单季度BB值创2017年以来最高,累计在手订单170亿欧元,供货延期将持续到2022H2o全年增速指引从30%提升到35%oLamResearch单季度营收入、利润率均高于预期,公司预计2022年需求仍然很强劲本轮,资本密集度提升在半导体领域是全面的。KLA订单也已经延续至2022年,部分产品交付期超过12个月。2021Q3:全球各环节设备均供不应求,新增订单仍然较多。全球光刻机龙头ASML本季度收入52亿欧元,新增订单62亿欧元,BB值持续高于1,且在手订单远超一年产值。前道工艺龙头LamResearch展望2021H2市场需求强于2021H1,且2022年

7、将延续增长。2021Q4:全球核心设备龙头订单整体强劲,短期收入受限于供应链制约,预计2022年WFE增长约1020%。其中,ASML在2021Q4新增订单71亿欧元,BB值2.0,累计订单充沛。2022QI收入仅为3335亿欧元,预计有20亿欧元无法在2022Q1确认收入;预计2022年增长20%oLamResearch预计2022Ql同比大幅增长、环比下滑,主要受限于零部件和运输因素;预计2022年全球WFE增长至IOOO亿美元,增速18%oTEL预计2022Q1营收同比增长16%、环比增长1%;KLAC预计2022Q1营收22亿美元,环比下降9%,下降主要由于供应链限制,积压订单依然强劲

8、。11:海外半导体设备龙头季度营收同比增速跟踪ASMLAMAT-SemiconduSystemsLRCX未来两年全球晶圆厂设备开支持续增长。2020年疫情带来的居家及远程办公带来笔电等消费电子需求激增作为本轮周期的催化剂,2020H2以车用芯片为代表的供应链开始紧张,下游持续增长的需求与上游有限产能的矛盾演绎为2021年全年行业供需失衡加居以2022年以来,消费性电子、智能手机、PC等领域需求确有下滑,但更值得注意的是全球正步入第四轮硅含量提升周期,服务器、汽车、工业、物联网等需求大规模提升。在6月台积电召开的股东大会上,公司管理层表示未来10年是半导体行业非常好的机会,主要原因就是5G及高效

9、能运算的普及,生活数字化转型,带来对车用(新车半导体含量可达传统车的10倍)、手机、服务器等终端内半导体含量的增加,推动半导体需求大幅成长。中芯国际在22Q1法说会表示,尽管消费电子,手机等存量市场进入去库存阶段,开始软着陆,但高端物联网、电动车、绿色能源、工业等增量市场尚未建立足够的库存,近年来硅含量提升与晶圆厂有限的产能扩充矛盾,叠加产业链转移带来的本土化产能缺口,使得公司需要大幅扩产,推出新产品工艺平台,满足客户旺盛的增量需求。我们认为疫情、全球经济及半导体周期性虽然会带来短期内的不确定性,但是技术进步、硅含量提升是长期支撑半导体行业持续发展的最关键驱动力。正因如此,2020年开始全球领

10、先的晶圆厂纷纷加速扩产提升资本开支,根据ICInsights,2021年全球半导体资本开支增速达到36%,预计2022年将继续增长24%,2020-2022年将会成为自1993-1995年以来的首次C叩EX连续三年增速超过20%。半导体设备作为晶圆厂扩产的重要开支部分,根据SEMI,2021年全球晶圆厂前道设备支出增速达到42%,预计2022年将进一步增长18%o台积电、中芯国际纷纷增加资本开支,CaPEX进入上行期。根据ICInsights,全球代工厂资本开支约占半导体总体的35%,根据头部代工厂的资本开支规划来看,2022年代工领域资本开支将进一步提升。台积电从2020年170亿美金增长到

11、2021年的300亿美金(用于N3/N5/N7的资本开支占80%),公司2021年4月1日公布未来三年资本开支IOOO亿美金,2022年资本开支将进一步提升至400-440亿美金,预计2023年资本开支仍有望超过400亿美金;联电2021年CapEx18亿美金,预计2022年翻倍达到36亿美金(其中90%将用于12英寸晶圆);GlobalFoundnes于2021年IPO后资本开支大幅提升用于扩产,公司2020年CapEx4.5亿美金,2021年提升至16.6亿美金,预计2022年超过40亿美金;中芯国际2021年资本开支维持高位,达到45亿美金(大部分用于扩成熟制程,尤其是8寸数量扩4.5万

12、片/月),预计2022年达到50亿美金。闺&16:2021年全球代工行业营收份HHGroupz2%GFz6%Tower,1%Nexchipz1%SMIC,5%DBHiTeK,1%Samsung,18%TSMC53%VISz1%PSMCJUMCz7%存储厂商:三星22Q1资本支出为7.9万亿韩元,其中用于半导体的投资为6.7万亿韩元,用于显示的投资为07万亿韩元。对存储的投资集中在P3晶圆厂的投资建设,及华城、平泽和西安工厂的工艺转换,重点开发5nm以下先进工艺的制造能力。平泽P3晶圆厂系三星全球建设的园区最大的晶圆厂,用于生产存储和逻辑芯片,建成后陆续将有NANDFLASH、DRAM芯片投产,

13、其后也将采用3nm工艺为其他厂商进行晶圆代工;海力士22Q1资本支出为4万亿韩元,预计2023年资本支出将继续增长。海力士22Q1支出的4万亿韩元主要用于对大连、利川、M15工厂的投资建设。海力士资本开支通常集中在上半年,从而有利于推动全年位元的成长。但公司在22Q1法说会上称今年由于设备交付时间的延长,公司会在全年各季度更均匀的进行资本支出。此外,SOlidigm的资本支出也将计入公司总资本开支中,故23年的合计资本支出将继续增加;美光一季度资本支出26亿美元,全年资本开支指引保持在IlO-120亿美元。美光预计22H2与22H1资本支出将基本持平。此外,美光表示虽然22Q1资本支出受制于设

14、备交付周期的延长,但其对全年的供应前景仍保持信心。随着1-alphaDRAM和176层NAND产品在终端市场的快速增长,公司将持续加强在上述工艺技术上的投资布局,巩固先发地位。“芯拐点、新制程、新产能推动需求。我们判断本轮反转首先来自于全球“芯”拐点,行业向上;其次,先进制程带来的资本开支越来越重,7nm投资在100亿美元,研发30亿美元;53nm投资在200亿美元;7nm单位面积生产成本跳升,较14nm直接翻倍;并且,大陆晶圆厂投建带动更多设备投资需求。图表19:IOOK产能对应投资额要求(4乙美元)1.2 前道设备占主要部分,测试需求高增速半导体设备按照制造环节可以分为前道晶圆制造设备、封

15、装设备和测试设备。前道设备占主要部分。设备投资一般占比7080%,当制程到1614nm时,设备投资占比达85%;7nm及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、测试三类设备分别占85%、6%、9%o测试需求高增长。半导体设备20132018年复合增长率为15%,前道、封装、测试设备增速分别为15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR24%)和存储测试设备(CAGR27%)。根据SEMI,2021年全球半导体测试设备市场规模达到77.9亿美金,同比增长29.6%,预计2022年市场规模进一步增长至81.7亿美金。图表25:20202023年全球半导体设备按工

16、艺流程划分(亿美元)WaferFabEquipmentTestEquipmentL3全球市场受海外厂商主导,前五大厂商市占率较高全球设备五强占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、热处理、清洗等)整合成三强AMAT、LAMxTELo另外,光刻机龙头ASML市占率80%+;过程控制龙头KLA市占率50%o根据SEMI,ASML、AMAT、LAMResearch.TEL、KLA五大厂商2021年收入合计788亿美元,占全球市场约77%。综合看下来,设备五强市场在各赛道合计市占率基本在50%以上。AMSL优势在光刻方面遥遥领先;AMAT优势在产品线广,沉积(CVD、PVD)

17、市占率高;LAM优势在刻蚀领域;TEL优势在小赛道如涂胶、去胶、热处理;KLA优势在过程控制。1.4 海外设备厂商在手订单饱满,供应链限制延续在手订单依旧强劲,供应链限制延续,设备大厂积极扩产。1)供给高度紧张:ASML22Q1营收yoy19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材料、供应链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本压力(原材料、物流、通胀等)。2)订单依旧强劲:ASML新增在手订单约70亿欧元,环比持平。KLA:当前在手订单交期总体56个月,部分产品78月。爱德万客户订单提前量增加,由于系半导体等材料和零件短缺,交期延长。

18、3)积极扩产:ASML预计2030年产能至少翻番,2025年年产能增加到约90套0.33孔径EUV和600套DUVo泰瑞达预计2023研发费用1900亿日元,yoy+20.1%;资本开支750亿日元,yoy+3l.l%,规划金额皆较往年有大幅提升。2022下半年展望乐观,全年需求强劲将有订单递延至明年。泛林2022Q2毛利率指引中枢仍略降,持续成本和供应压力影响持续,二季度订单积压不断增加。随产能落地、产品竞争力效益显现及部分订单延迟多数企业对H2展望乐观。ASML预计2022H2表现强劲,毛利率约54%,高于全年52%指引,主要由EUV和DPV出货及安装基础管理业务利润率提升驱动。Q4部分E

19、UV系统收入将递延到2023年。泛林预计2022WFE需求将超1000亿美元,未满足的设备需求将递延至明年。泰瑞达积极建立库存及扩产,预计H2出货有更大增量及灵活性,预计Q2实现增长,仅高端产品出货受限。图表33:ASML季度利润率毛利率(%)净利率()2OQ120Q220Q320Q421Q121Q221Q321Q422Q1ASML:业绩达到预期,新增订单70亿欧元Ql营收符合预期,快速出货模式下订单延迟确认。ASML22Ql营收为35亿欧元,达指引上限,yoy-19%,qoq-29%,净利润6.95亿欧元,yoy-48%,qoq-61%,营收及净利的下降主要系快速出货模式下部分订单确认收入时

20、间延迟到22Q2。毛利率为49.0%,达到预期。本季度出货9套EUV系统,并确认了3套EUV设备5.91亿欧元的收入。新增在手订单约70亿欧元。22Q1公司新增在手订单约70亿欧元,其中逻辑占66%,存储占34%。其中包含25亿欧元的0.33孔径EUV系统和0.55高孔径EUV系统,DPV订单量为45亿欧元,反映出对先进和成熟节点的持续强劲需求。收入构成中,从技术路线上看,ArFi占比最高为47%,EUV占比26%,KrF占比12%,量测和检测占比7%,干法ArF占比6%,Mine占比2%。从地区来看,中国大陆占比34%,韩国占比29%,中国台湾地区占比22%,日本占比7%,美国占比6%,亚洲

21、其他地区占比1%,欧非中东合计占比%o从终端应用上看,逻辑与存储各占50%。附也35:ASML例舍拈构Netsystemsalesbreakdown(Quarterly)供应严重受限,业绩处于指引下限。供应链限制对公司的收入和盈利能力产生了负面影响,此外,公司还面临与供应相关的通胀压力、运输物流价格上升、原材料成本以及集成电路的成本压力。为更好应对供应链挑战,公司采取增加资源、与客户合作、新增额外零部件供应商等措施以提高供应链灵活性。公司22Q1营收40.60亿美元,同比+5.51%,qoq-3.95%;毛利率44.7%,成本压力较大导致毛利波动。持续的成本和供应限制挑战将继续影响公司对二季度

22、业绩的预测。TechnologyRegion(shipto location)SalesInlithographyQ4,21totalwyMn mm 3.464 million泛林:短期供应受限影响收入,需求仍然旺盛预计2022年WFE需求将超1000亿美元,任何未满足的设备需求都将递延至明年。即使供应受限,存储、代工、逻辑需求仍然非常强劲。存储一季度营收环比增长58%,DRAM业务为公司带来了创纪录的收入水平;代工一季度收入环比下降,与下游客户投资时机有关。随着公司今年在领先和成熟节点设备投资方面的进展,预计这一领域将出现增长;逻辑一季度贡献了13%的总营收,创下记录。刻蚀领域发展前景良好,

23、公司预计2022年这一领域将继续增长;CSBG收入约为14亿美元,受到了持续的供应链约束的负面影响,环比下降5%,但下游需求依然强劲。公司预计2022年WFE需求将超过1000亿美元,任何未满足的设备需求都将递延至明年。展望2022Q2需求能见度高。尽管客户需求持续强劲,但基于持续的供应链约束,公司预计22Q2收入42亿美元,上下浮动3亿美元。毛利率预计为44.5%,上下浮动1%。公司的指引预期反映了对通货膨胀的成本环境的预期,以及对供应链执行的持续策略管理的需求。营业利润率预计为29.5%,上下浮动1%。虽然公司面对供应链不断限制产能的挑战,但需求依然强劲。一季度结束后,公司二季度的订单积压

24、不断增加,终端需求的能见度很高。展望2022全年,随着产能的增加,预计下半年毛利率趋好。出A38:LamResearCh2022Ql营枇结构MarchquarterrevenuemixRecordlevelofDRAMandLogic/OtherrevenuedollarsRevenuebyregkxiSystemrevenuesegments*BuncoUSn百家三爱德万测试:2021年业绩高增,积极筹资扩产年度业绩高增,订单、销售额、营收及净利润均创历史新高。2021财年公司收到订单相比上年由3,306亿日元增至7,003亿日元,同比增加111.82%,营业收入同比62.2%增至1147亿

25、日元,净利润同比25.1%增至873亿日元。其中净利润的增长相对较小,主要是因为日本税负结转会计准则的调整和上一财年计提递延所得税资产的税收负担降低所致。整体上,公司受益于半导体需求长期扩张和业绩改善的趋势。订单增长主要由于半导体等材料和零件的短缺,产品交货时间更长,公司的相关客户订单提前量增加。21Q4营业收入和税前收入也创下了披露季度财报以来的历史新高,主要受益于:客户提前订购测试设备量增加、设备种类增多,测试设备业务和系统级测试业务的增长驱动了机电一体化系统和服务支持业务的业绩增长,同时公司在采购必要零件方面的完善减少了半导体元件和必要零件短缺的影响。毛利率改善,增加研发投入并筹备资金,

26、为未来产能和业务扩张奠定基础。2021财年公司毛利率为56.6%,较2020年的53.8%略有提升,主要受益于整体销售中高附加值测试设备占所有高性能半导体的比例增加,增加了智能手机和数据中心相关设备的高端SoC半导体测试设备的销售额,改善产品组合。公司自21Q2开始对在美国的产能扩张进行投资,并在第四季度进一步投资。多方需求增加使公司对2022财年业绩持乐观态度,需求的增长主要受益于技术的增长。Soe测试设备的需求主要来自于半导体和相关制造工艺的复杂性增加,内存测试设备的需求侧驱动力主要是小型化、高密度以及更高的速度和带宽等趋势数据中心对HPC设备的高需求方面,公司有希望增加DRAM测试设备的

27、销售。由于对设备接口产品的持续高需求,公司预计纳米技术产品的销售额也将增加,这与测试设备业务和EUV光刻技术的日益普及密切相关。主要的困难仍然来自于采购半导体和其他零件,公司将与正在开发领先半导体技术的客户合作。KLA:把握先进封装和汽车电子机遇公司FY22Q3营收22.89亿美元,yoy+26.88%,接近之前的业绩指引上限;净利润7.76亿美元,yoy+28.92%,qoq+1.95%o把握先进封装和汽车电子机遇。公司FY22Q3在汽车应用的晶圆检测收入方面创下有史以来最高客户参与度。利用半导体工艺控制(SPC)和电子、封装、组件(EPC)的组合,公司加强在先进封装和汽车电子领域的开发。公

28、司扩大产品组合,开发一套全面的产品和技术,包括用于先进封装市场的晶圆级封装、最终组装和测试产品,以及一套旨在帮助客户实现其零缺陷目标的检测系统和工艺工具。该产品组合包括零缺陷项目中的持续改进项目(CIP)设计的检查系统,如:在线模具筛选、电源设备可靠性、封装和PCB质量。密我40:KLAC卷收艮增速1亿美元.%.取自然耳)分业务来看,半导体工艺控制及相关服务业务FY22Q3营收达到19.8亿美元,yoy+31%,qoq-4%,符合预期,其中63%营收来自于代工厂和逻辑客户,37%来自于存储客户(26%来自于DRAM,11%来自于NAND);特种半导体工艺业务实现营收11.7亿美元,yoy+28

29、%,qoq+4%;PCB,显示器和元件检测业务实现营收1.93亿美元,yoy-6%,qoq+2%o分产品来看,晶圆检测系统实现营收9.19亿美元,yoy+29%,qoq-17%,该部分营收占比40%;图案制作(包括光罩检测)收入为6.11亿美元,yoy+53%,qoq+20%,营收占比27%;特种半导体工艺收入为1.06亿美元,yoy+38%,qoq+l%,营收占比5%;PCB、显示器和元件检测收入为1.23亿美元,yoy-13%,qoq+l%,营收占比5%;服务营收达到4.88亿美元,yoy+14%,qoq+7%,营收占比21%,该部分增长超预期主要系长期服务协议的增长、产能利用率的提高以及

30、传统节点服务的拓展等。业绩展望:预计下一季度总收入预计为24.25亿美元,上下浮动1.25亿美元;代工/逻辑预计约占半导体工艺控制系统收入的56%,存储预计约占44%,在存储中,DRAM预计约占细分市场的66%,NAND预计约占34%o泰瑞达:汽车和闪存市场强劲业绩高于指引中点,汽车和闪存市场需求强劲。22Q1营业收入达7.55亿美元,yoy-3.36%,qoq-14.65%o22Q1毛禾IJ率为60.2%,同比增长LlOpct,环比增长0.70PCL营收中工业自动化收入同比提升29%。公司表示目前不断增长的汽车设备复杂性、ADAS相关器件和电动汽车设备是SoC测试的长期驱动力,未来SoC测试

31、需求主要是在汽车终端市场,预计2022年高端市场总量将达到40-50亿美元。存储器市场将维持稳定,其中NAND需求将增强,DRAM需求将持续减弱。图氐钠:冬璃达2022Ql收情也Aulomo4)*.Memory, and Wreies* Twt demand Vn(XoVed through 01GrossMaqyms improved 110 bpc vQ12land70bpsfromQ4 21 IndustnaiAutomaUonSai$ gtw 29% YoY despite m)MM*l ShOfta9e9 FavoraMePCOdUcIm main dver OJthetmprovw

32、nenl东京电子:营收高增,半导体市场热度不减营收大幅提升,半导体市场热度不减。公司FY22总营收为2万亿日元,yoy+43.2%,主要得益于全球经济的逐步复苏、碳中和的逐步推广以及通信技术的不断发展带来的半导体需求提升;其中日本国内营收为2303.7亿日元,yoy+16.6%,占比11.5%;海外营收为1.77万亿日元,yoy+47.6%,占比88.5%。归母净利4370.8亿日元,yoy+79.9%o毛利率达45.5%,yoy+5.1pto分业务看:SPE半导体生产设备:在社会数字化的推动下,从尖端的半导体到成熟的几代半导体需求强烈,资本方对DRAM和NAND闪存的投资也继续保持在高水平。

33、FY22SPE部门对外营收为1.94万亿日元,yoy+47.8%,占比97%。FPD平板显示器生产设备:随着电视用大尺寸液晶面板的资本投资已基本走到尽头,FPDTFT阵列的整体制造设备市场开拓已经放缓。同时,中小型OLED面板的资本投资仍在继续,终端产品中安装的显示器正在从LCD面板转换为OLED面板。FY22前三季度该部门的营收为598.3亿日元,同比减少28.6%,占比3%。2023展望:公司预计FY2023营收2.35万亿日元,yoy+17.3%,其中SPE部门营收2.29万亿日元,yoy+18.1%;FPD部门营收550亿日元,yoy-8.1%;归母净利5230亿日元,yoy+19.7

34、%o研发费用预计1900亿日元,yoy20.1%,资本开支750亿日元,yoy+31.1%o公司FY2023研发投入及资本开支规划较往年有大幅提升。1.5 国内需求爆发,国产替代空间快速打开国内晶圆厂投资进入高峰期。根据集微网统计,20202022年国内晶圆厂总投资金额分别约1500/1400/1200亿元,其中内资晶圆厂投资金额约1000/1200/1100亿元。20202022年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。图A48:国内品四厂投索域模(亿元)(20202022郢为正浜技乜*)设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从市场格

35、局来看,细分市场均有较高集中度,主要参与厂商一般不超过5家,top3份额往往高于90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求。制程越先进,设备投资额占比越高。设备投资一般占比7080%,当制程到1614nm时,设备投资占比达85%;7nm及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处理等均是重要投资环节。国内国产化逐渐起航,从。到1的过程基本完成。北方华创产品布局广泛,刻蚀机、PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD等设备新产品市场导入节奏加快,产品工艺覆盖率及客户渗透率进一步提高,在集成电路领域主流生产线实现批量销售,

36、产品加速迭代;第三代半导体、新型显示、光伏设备产品线进一步拓宽,出货量实现较快增长。拓荆科技作为国内唯一一家产业化应用PECVD和SACVD设备的供应商,PECVD累计发货150台,广泛用于中芯国际、华虹集团、长江存储、合肥长鑫、厦门联芯、燕东微电子等国内主流晶圆厂,PEALD已实现销售;中微公司介质刻蚀机已经打入5nm制程,新款用于高性能Mini-LED量产的MOCVD设备UniMax2022Q1订单已超180腔;芯源微前道涂胶显影设备在28nm及以上多项技术及高产能结构方面取得进展,并实现多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现国产替代,新签订单结构中前

37、道产品占比大幅提升;华海清科CMP设备在逻辑芯片、3DNANDxDRAM制造等领域的工艺技术水平已分别突破至14nm、128层、IX/lYnm,到2021年底,公司CMP设备累计出货超过140台,未发出产品的在手订单超70台。Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美半导体单片清洗机在海力士、长存、SMIC等产线量产。精测电子、上海睿励在测量领域突破国外垄断。国A49:国产设备替代遗衽设备科奥公司区域技米节点(nm)财性硅划蚀北方华创北京65/4528/14中港公司上海65/45/2814/7/5介质划蚀中椒公司上海65/45/28/14薄膜PVD北方华创北京65/45/28/

38、14灵化炉/LPCVD北方华创北京65/28/14PECVD拓剂科技/北方隼创沈,阳/北京65/28/14ALD拓剧科技/北方华创沈阳/北京55/28/14&先泳股显会机芯通微沈阳90/65上毒被上海90黑子注入哥于注入机好.科中科信/万丈全业北京/上海65/45/28济;克机北方隼创北京65/45/28湿法CMP隼海济科天津28/14谏辆/清洗长美上海上海28/14检图光学校测(OCD,漕腹)精用电子/上海春功上海65/28/14然处理送火炉、合金炉.单月退火北方年利北京65/45/28制诙测认机,分速机长川科技/华峰测控/精测电子犹州/北京/上海其他清QCOS.Sorter,Scrubbe

39、r至性科技/上海新阳/京仪上考/北京设备国产化率较低,国产厂商成长空间巨大。我国半导体设备市场仍非常依赖进口,目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标空间较大。1.6 2022Q1国产设备厂商营收持续高增2021年及2022Q1设备收入、利润快速增长,国产替代持续深化。设备行业核心公司(北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电子、至纯科技,拓荆科技、华海清科及盛美上海由于2020年数据不完整未被算入)2022Ql营业收入总计76.2亿元,同比增长55.3%;扣非归母净利润11.4亿元,同比增长83.0%。设备行业持续处于高速增

40、长,国产替代空间快速打开,国内核心设备公司成长可期。设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负债合计分别为139.5亿元,同比增长76.2%,保持高增速。其中,北方华创2022Q1合同负债达到50.9亿元。大陆12寸晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全球8寸扩产放缓,12寸晶圆厂扩产如火如荼。2020年以来,国内12寸晶圆厂遍地开花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设12寸晶圆厂,粤芯半导体、华虹无锡等12英寸生产线陆续建成投产。根据SEMI,2019年至2024年,全球至少新增38个12寸晶圆厂,其中中国台湾

41、11个,中国大陆8个,到2024年,中国12寸晶圆产能将占全球约20%o大量晶圆厂的扩建、投产,将带动对上游半导体设备的需求提升,更有望为国产化设备打开发展空间。因455:设备核心公司合同能侵占营收比二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上的过程。光刻胶(正胶)受到照射的部分,将发生化学变化,从而易溶于显影液。瑞利公式:CD=kl*(NA)0CD为关键尺寸,为了降低CD,有三种方式:(1)降低波长;(2)提高镜头的数值孔径NA;(3)降低综合因素kl0生产参数:(1)分辨率:可达到最小光刻图形尺寸;(2)套准精度:图形尺寸在亚微米

42、数量级上,套刻误差在特征尺寸10%;(3)产率:对给定掩膜板,每小时能曝光的晶片数量。方案升级:接触式接近式一步进式。光源升级:1985年之前,以g线(436nm)为主,最小线宽为IlIm以上;1985年以后,出现少量i线(365nm)光刻机,最小线宽0.5um;1990年开始出现DUV光刻机,最小线宽为0.25IIm;踏入21世纪,193nm的深紫外线开始使用。EUV的采用利好光刻、过程控制(ASML、KLA)o根据ASML,45K/M的IogiC产能,每一层需要台EUV;IOOK/M的DRAM产能,每一层需要1.5-2台EUVo预估TSMCN7使用7层;N5使用14层。ASML预估EUV层

43、数10-20层,目前工艺总层数多达400-600层。困女63:两次技大分水岭奠定光&衣极有光刻机发展历史,两次技术分水岭奠定格局变化。20032004年为第一个分水岭:ASML选择浸润式,Nikon选择157nmo2010年为第二个分水岭:EUV量产,差距拉大。2020年,全球光刻机市场约135亿美元,占全球半导体制造设备市场21%。光刻机市场一直以来在全球设备市场中的比重都较高,具有较高技术难度,并且单台设备价值量也较高,属于半导体制造设备的“皇冠光刻机单机价值量高,每年出货数量约300400台。根据ASML、Nikon.Canon三家光刻机财报数据统计,近两年全球光刻机每年出货量大约在30

44、0-400台之间,整体均价约0.3亿美元。其中主要产品是KrF约90100台,ArFi约90100台。近几年EUV出货量在逐步增长,全球仅有ASML具备供应能力,每年出货30-50台,均价超过1亿美元。光刻机的供给有限,前三大晶圆制造领先厂商占据大部分需求。ASML在2020年一共销售34台EUV光刻机,2021年EUV光刻机的产能将增长到4550台。从历史需求端来看,全球90%以上的EUV光刻机由TSMC、Samsung、Intel三家采购,其他诸如代工厂GobalFoundriesx存储厂海力士、美光每年最多采购1台光刻机。SML主导全球光刻机市场。从光刻机格局来看,2020年ASML占据

45、全球光刻机市场84%的市场空间,NikOn约7%,CanOn约5%。ASML具有高度的垄断地位,并且由于EUV跨越式的升级进步,ASML在技术上的领先性更加明显。国内上海微布局前道光刻机设备。上海微电子装备(集团)股份有限公司主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司于2002年成立,2006年公司光刻机产品注册商标获得国家工商局批准。2008年十五光刻机重大科技专项通过了国家科技部组织的验收。2009年交付首台先进封装光刻机产品。2013年公司国产首台用于2.5代AM-OLEDTFT电路制造的光刻机成功交付用户。2016年,公司首台暨国内首台前道

46、扫描光刻机交付用户。2018年,公司90nm光刻机项目通过正式验收。公司建立了产品开发过程的技术创新以及知识产权保护的制度程序与管理组织体系,并于2015年通过GB/T29490-2013国家知识产权管理体系审核认证,体系涵盖了产品的预研、设计、制造以及市场投放等全过程。国A66:上海微电子600系列充射机SSA60020SSC60010S10三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处理工艺。刻蚀就是利用光刻胶等材料作为掩蔽层,通过物理

47、、化学方法将下层材料中没有被上层遮蔽层材料遮蔽的地方去掉,从而在下层材料上获得与掩膜板图形对应的图形。湿法刻蚀:用液体化学剂去除衬底表面的材料。早期普遍使用,在3um以后由于线宽控制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除和残留物的清洗。干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等离子,与暴露的表面材料发生物理反应、化学反应。刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比)、刻蚀坡面(各向异性、各向同性)。应用最广泛的刻蚀设备是ICP与CCP,技术发展方向是原子层刻蚀(ALE)o电容性等离子体刻蚀CCP:能量高、精度

48、低,主要用于介质材料刻蚀(形成上层线路)一诸如逻辑芯片的栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻蚀等,以及3D闪存芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。电感性等离子体刻蚀ICP:能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器件)硅浅槽隔离(STI)、错(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si).金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像技术中的多道刻蚀工艺。ALE:技术发展方向,能精确刻蚀到原子层(约0.4nm),具有超高刻蚀选择率。应用广泛。图装72:电感性等离子体刻蚀反应腔FIoVacuum ln,等

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号