02杜林林交通灯电路设计.docx

上传人:小飞机 文档编号:2036122 上传时间:2023-01-02 格式:DOCX 页数:11 大小:266.58KB
返回 下载 相关 举报
02杜林林交通灯电路设计.docx_第1页
第1页 / 共11页
02杜林林交通灯电路设计.docx_第2页
第2页 / 共11页
02杜林林交通灯电路设计.docx_第3页
第3页 / 共11页
02杜林林交通灯电路设计.docx_第4页
第4页 / 共11页
02杜林林交通灯电路设计.docx_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《02杜林林交通灯电路设计.docx》由会员分享,可在线阅读,更多相关《02杜林林交通灯电路设计.docx(11页珍藏版)》请在三一办公上搜索。

1、电子技术课程设计 交通灯控制器的设计学 院 : 华科学院专 业 : 通信工程班 级 : 通信052201H姓 名 : 杜林林学 号 :200522080102指导教师 : 柴婷婷2007年 12月 交通灯控制器的设计一 设计任务与要求 设计一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,要求如下:1 主干道和支干道各设一个绿.黄.红指示灯,两个显示数码管。2 主干道处与常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯3 当主支干道均有车时,俩者交替允许通行,主干道有每次放行45S,支干道每次放行25S

2、,在每次由亮绿灯变成亮红灯的转换过程中,要亮5S的黄灯作为过渡,并进行减计时显示。二 总体框原理 计数模块、置数模块、主控制器模块和译码器模块。置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。其中,核心部分是主控 图一.交通灯控制系统的原理框图图二.交通灯控制器的流程图1设计思路: 在某一十字路口交叉地带,可设计东西走向的道路为甲车道,南北走向的道路为乙车道。甲乙车道的交通灯需按交通法则来依次交替运行。则可设计一个状态循环的逻辑电路。2分析系统的逻辑功能: 交通灯控制系统的原理框图如图一所示。它主要由控制

3、器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为45秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止通行。绿灯亮

4、足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1

5、)种工作状态。 交通灯以上4种工作状态的转换是由控制器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如图二所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 图二的控制器工作状态及功能 控制状态 信号灯状态 车道运行状态S0(00) 甲绿,乙红 甲车道通行,乙车道禁止通行S1(01) 甲黄,乙红 甲车道缓行,乙车道禁止通行S3(11) 甲红,乙绿 甲车道禁止通行,乙车道通行S2(10) 甲红,乙黄 甲车道禁止通行,乙车道缓行符号含义:AG=1:甲车道绿灯亮;B

6、G=1:乙车道绿灯亮;AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮;AR=1:甲车道红灯亮; BY=1:乙车道红灯亮; 由此得到交通灯控制器的流程图,如图二所示。设控制器的初始状态为S0(用状态框表示S0),当S0的持续时间小于45秒时,TL=0(用判断框表示TL),控制器保持S0不变。只有当S0的持续时间等于45秒时,TL=1,控制器发出状态转换信号ST(用条件输出框表示ST),并转换到下一个工作状态。依此类推可以弄懂流程图所表达的含义。三 选择器件1. 定时器定时器由与系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下

7、,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模45的定时信号TL。2. Traffic1交通灯控制器图元符号Traffic1控制器设计较简便。控制器的外引线排列图和时序波形图如图三所示。图中, Sensor1和Sensor2是高低电平的输入端, CLK为时钟信号的输入端,RESET为置零端,red1.yellow1.green1.red2.yellow2.green2为信号输出端。图三 traffic1控制器图3. 存入Traffic1交通灯控制器的程序library ieee;use ieee.std_logic_1164.all;entity traffic1 is po

8、rt(clk,sensor1, sensor2, reset : in std_logic; red1, yellow1, green1, red2, yellow2, green2 : out std_logic);end traffic1; architecture a of traffic1 is type state_t is (st0,st1,st2,st3,st4,st5,st6,st7); signal state, nextstate : state_t;begin update_stae : process (reset, clk) begin if (reset=1) th

9、en state = st0 ; elsif clk event and clk=1then state = nextstate ; end if ; end process; transitions : process (state, sensor1, sensor2) variable cnt1,cnt2,cnt3,cnt4,cnt5,cnt6,cnt7,cnt8 :integer range 0 to 255; begin red1 =0; yellow1 =0; green1 = 0; red2 =0; yellow2 =0; green2 green1 = 1; red2 =1; i

10、f sensor2 = sensor1 then nextstate = st1; elsif (sensor1 = 0and sensor2 = 1) then nextstate= st2; else nextstate green1 = 1; red2 = 1; nextstate green1 = 1; red2 = 1; nextstate yellow1 = 1; red2 = 1; nextstate red1 = 1; green2 = 1; if sensor2 = sensor1 then nextstate = st5; elsif (sensor1 = 1and sen

11、sor2 = 0) then nextstate = st6; else nextstate red1 = 1; green2 =1; nextstate red1 = 1; green2 = 1; nextstate red1 = 1; yellow2 = 1; nextstate = st0; end case; end process; end a;仿真图:四.总体设计电路图1电路图2时序仿真结果:3管脚分配图五心得体会做了三周的课程设计,使我感慨颇多,有关于VHDL方面的,更多的收获是多方面的。 我们做实验分组每人一个题目,因为我们班人少,正好题目多,最后只能每人一个题目,使以前一向懒

12、散的我们最后弄的手忙脚乱,不过付出总会有回报的,虽然最后实验结果有些缺点,但是我们大家一致认为收获还是大的。所幸的是,我得到了很多同学的帮助。我想没有他们我可能都要放弃了,因为我本人对计算机的应用不是很熟悉,VHDL程序设计也不熟炼,学的东西好像它是它,我是我似的,理论联系不了实际。以前的汇编语言没学好,一开始的程序这块儿就要令我抓狂了。后来请教我们班的同学,每次跟他一起到试验室调试程序,看他边做边给我讲解。使我获益非浅。 接下来就是做硬件方面的连接方面了 ,虽然简单但也是非常重要的一个步骤,只有通过才能任可实验的正确性。还好,验收还算成功,得了25分,不是很高,但是我觉得对我来说已经很好,代

13、表了我真实的水平,我觉得我对VHDL的一些知识在这个动手的过程中真的是从无到有增长的。同时我也尽量不去想别人得了多少分,没有什么不平衡的,有些人付出的是努力,有些人付出的是风险代价,其实这也没有什么不一样,这一点我早就了解。 不过我的心是充实的,虽然这几天有时常常为了1个小问题想几个小时也不知所以,又常常抱着参考书有一种相见恨晚的感觉,恨不得一下全部消化了它,虽然这几天常常躺在床上,却突然领悟到白天苦思的问题,又常常辗转反侧,看到自己那么大的差距,想到高考落榜这两年却像虚度般的过来了 久违了,这种匆忙的感觉,久违了,这种充实的感觉,凭借着自己的力量在努力,努力过才会留下无悔的青春,无怨的青春。

14、 我似乎又找到正确的前进的方向,过去我还常常迷惘我为什么会坐在北工大计算机系的教室里,我感到从不曾有过的空虚,空虚到似乎整个天空将要塌下来。 或许今天的忙碌使我有了一丝的答案,一丝前进路上的答案。这个答案是我的,也是大家的。我明白在这条路上只有向前走才是出路,任何观望和倒退将使自己处于危险的境地。 这次课设无论结果如何,我都会欣然接受,因为我努力过,我得到的将是我应该得到的,不会多也不会少。但是这仅仅是一个开始,我想在以后很长一段时间里,我应该不会再如此迷惘。目录一.设计任务与要求-(1)二.总体框原理 -(1)三.选择器件-(4)1. 定时器2. Traffic1交通灯控制器图元符号3.存入Traffic1交通灯控制器的程序四.总体设计电路图-(7)五.心得体会-(9)10

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号