通信系统课程设计报告 pi2系统QPSK设计.doc

上传人:文库蛋蛋多 文档编号:2388639 上传时间:2023-02-17 格式:DOC 页数:13 大小:334.50KB
返回 下载 相关 举报
通信系统课程设计报告 pi2系统QPSK设计.doc_第1页
第1页 / 共13页
通信系统课程设计报告 pi2系统QPSK设计.doc_第2页
第2页 / 共13页
通信系统课程设计报告 pi2系统QPSK设计.doc_第3页
第3页 / 共13页
通信系统课程设计报告 pi2系统QPSK设计.doc_第4页
第4页 / 共13页
通信系统课程设计报告 pi2系统QPSK设计.doc_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《通信系统课程设计报告 pi2系统QPSK设计.doc》由会员分享,可在线阅读,更多相关《通信系统课程设计报告 pi2系统QPSK设计.doc(13页珍藏版)》请在三一办公上搜索。

1、通信系统课程设计报告课题名称 pi/2系统QPSK设计 学生姓名 班 级 07通信2w 学 号 07313220 指导教师 设计地点 60#507 2010年 10 月 14 日目 录序言3第一章 设计任务要求31.1 设计要求31.2 /2体系QPSK调制系统设计3第二章 VHDL语言与 Quartus II软件简介41.1 Quartus 软件介绍41.2 Quartus 的VHDL语言的软件操作流程41.3 Quartus 的VHDL语言的软件操作流程5第三章QPSK工作原理及信号产生62. 1QPSK工作原理62. 2QPSK信号产生72.2.1 调相法72.2.2相位选择法92.2.

2、3.QPSK调制原理图.9第四章基于Quartus II软件的QPSK码编码器的设计 104.1 QPSK编码器的VHDL建模与程序设计104.1.1程序设计思想104.1.2调制电路VHDL 程序114.2调试与分析124.2.1基带信号的设置124.2.2仿真的波形设置124.2.3仿真图134.2.4分析13参考文献13.心得与体会13序言四相相移键控信号(Quardrature Phase - Shift Keying ,QPSK) 。它具有一系列独特的优点,比如抗干扰能力强,在恒参信道下,QPSK调制技术与FSK、2PSK、ASK调制技术相比较,不但抗干扰能力强,而且能更经济有效地利

3、用频带,适合回传通道的技术要求,因此被广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式QPSK技术的性能分析。QPSK技术具有抗干扰性能强、误码性能好、频谱利用率高等优点。19世纪80年代中期以后,四相绝对移相键控(QPSK)技术以其抗干扰性能强、误码性能好、频谱利用率高等优点,广泛应用于数字微波通信系统、数字卫星通信系统、宽带接入、移动通信及有线电视系统之中。例如:在卫星数字电视传输中,普遍采用的QPSK调谐器是当今卫星数字电视传输中对卫星功率、传输效率和抗干扰性以及天线尺寸等多种因素综合考虑的最佳选择。第一章设计任务要求1.1 设计要求1.1.1、按题目要求的逻辑功能进行设计

4、,各个部分须有设计说明;1.1.2、采用原理图或VHDL 语言输入法,在微机上进行编译和软件仿真;1.1.3、软件仿真完成后,必须经教师允许方可进行下载。1.2 /2体系QPSK调制系统设计1.2.1采用VHDL语言输入法1.2.2根据QPSK调制原理,确定调制系统具体设计方案1.2.3画出/2体系QPSK调制系统的程序设计流程图1.2.4编写VHDL源程序,调试及仿真时序波形第 二 章 VHDL语言与 Quartus II软件简介1.1 Quartus 软件介绍Quartus II是Altera公司在21世纪初推出的CPLD/FPGA集成开发环境,它是该公司前一代CPLD/FPGA集成开发环

5、境MAX+PUS II的更新换代产品。Quartus II提供了一种与结构无关的设计环境,其界面使设计者能方便地进行设计输入、快速处理和器件编程。Quartus II还包含许多十分有用的参数化的模块库,它们是复杂或高级系统构建的重要组成部分。Quartus II加强了网络功能,它具有最新的Internet技术,设计人员可以直接通过Internet获得Altera的技术支持。Quartus 提供了完全集成且与电路结构无关的开发环境,具有数字逻辑设计的全部特性。 Quartus 设计软件提供完整的多平台设计环境,可以很轻松地满足特定设计的需要。它是可编程片上系统(SOPC 设计的综合性环境,拥有F

6、PGA和CPLD设计的所有阶段的解决方案。与其它EDA 软件相比较Quartus 软件的特点主要包括: 可利用原理图、结构框图、Verilog HDL、AHDL 和VHDL 完成电路描述,并将其保存为设计实体文件。 芯片(电路)平面布局连线编辑。 LogicLock 增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块。(1)功能强大的逻辑综合工具。(2)完备的电路功能仿真与时序逻辑分析。(3)定时/时序分析与关键路径延时分析。(4)可使用 SignalTap 逻辑分析工具进行嵌入式的逻辑分析。(5)支持软件源文件的添加和创建,并将它们链接起来生成编程文件。(

7、6)使用组合编译方式可一次完成整体设计流程。 (7)自动定位编译错误。(8)高效的期间编程与验证工具。 1.2 Quartus 的VHDL语言的软件操作流程VHDL语言是一种标准化的硬件描述语言,它自身必然有很多其他硬件描述语言所不具备的优点:(1)VHDL语言功能强大,设计方式多样。(2)VHDL语言具有强大的硬件描述能力。(3)VHDL语言具有很强的移植能力。(4)VHDL语言的设计描述与期间无关。(5)VHDL语言程序易于共享和复用。虽然VHDL语言具有很多其他硬件描述语言所不具备的优点,但是它也并不是一种完全理想的硬件描述语言。同样,它也具有一些自身的缺点,或者说VHDL语言还有一些需

8、要不断完善的地方:(1)VHDL语言有时不能准确地描述硬件电路。(2)VHDL语言的系统级抽象描述能力较差。(3)VHDL语言不能描述模拟电路。作为一种标准化的硬件描述语言,VHDL语言描述硬件电路时具有一定的流程可以遵循。对于设计人员来说,掌握VHDL语言的开发流程图和开发步骤具有重要的指导作用。1.3 Quartus 的VHDL语言的软件操作流程操作流程分为四个较大的过程:输入、编译、仿真和下载。输入:本次课程设计采用VHDL语言输入方式。编译:在输入完毕并保存文件后,建立合适的工程项目文件,执行Compilation编译命令,即可由Quartus 软件自动产生输出波形。仿真:在建立时序波

9、形文件,给定输入信号波形并保存后,方可执行Simulation仿真命令,即可由Quartus 自动产生输出波形。下载:先建立输入/输出端子与实际芯片的对应关系,即引脚锁定,并重新编译,产生“.scf”文件,然后执行Programmer命令,方可将设计的原理图程序下载到实际的芯片上。在执行Programmer命令前还要将实验箱硬件电路连接好,这是下载的先决条件。附: 第三章QPSK工作原理及信号产生2.1QPSK工作原理四相绝对移相调制是利用载波的4种不同相位来表征数字信息。每一种载波相位代表两个比特的信息。例如,若输入二进制数字信息,序列为1 0 0 1 1 1 0 0, ,则应该先将其进行分

10、组,每两个比特编为一组。可将它们分成10, 01, 11, 00,等,然后分别用4种不同的相位来表示。故每个四进制码元又被称为双比特码元。把组成双比特码元的前一个信息比特用a代表,后一个信息比特用b代表。双比特码元中的两个信息比特ab通常是按格雷码排列的。载波相位若用k 表示,则k 在0到2内等间隔的取值仅有4种可能。表1给出码元与载波相位的关系。又由于正弦函数和余弦函数的互补特性,对应于k 的4种取值,例如45、135、225、315,其幅度ak ( ak = cosk ) 和bk ( bk = sink ) 。只有两种取值,即1 / 2。所以,四相绝对移相调制可以看作两个正交的二相绝对移相

11、调制的合成。载波相位k 的4种取值矢量关系,如图1所示。 QPSK中每次调制可传输2个信息比特,这些信息比特是通过载波的四种相位来传递的。QPSK是一种频谱利用率高、抗干扰性强的数调制方式, 它被广泛应用于各种通信系统中. 适合卫星广播。例如,数字卫星电视DVB2S 标准中,信道噪声门限低至4. 5 dB,传输码率达到45M boumls,采用QPSK 调制方式,同时保证了信号传输的效率和误码性能。图1 ( a)中表示采用方式1的QPSK信号的矢量图,属于/2体系。图1 ( b)中表示采用方式2的QPSK信号的矢量图,均属于/4体系。由于四相绝对移相调制可以看作两个正交的二相绝对移相调制的合成

12、,因此,两者的功率谱密度分布规律相同2.2.QPSK信号产生因为四相绝对移相调制可以看作两个正交的二相绝对移相调制的合成,所以同相通道I和正交通道Q的调制过程应与二相绝对移相调制相同。因此,在本质上QPSK调制器是两个2PSK调制器的并行组合。QPSK信号产生的方法和2PSK信号一样,也可以分为调相法和相位选择法两种,下面将分别论述其原理和实现方法。2.2.1 调相法用调相法产生/4体系的QPSK信号的系统方框图如图2所示。图2中,串并变换器将输入的二进制序列依次分为两个速率减半的并行的单极性序列,变成m=log2M个并行数据流。假设两个序列中的二进制数字分别为a和b,每一对ab称为一个双比特

13、码元。单极性的a和b脉冲通过极性变换,即0 - 1和1 + 1,变成双极性二电平信号I ( t) 和Q ( t) ,然后进入两个平衡调制器,分别对同相载波和正交载波进行二相调制,得到图3中的虚线矢量。将两路输出叠加,即得如图3中的实线所示的四相移相信号。其相位编码逻辑关系如表2所示。如果将载波移相-/4,使用此方框图系统也可以产生/2体系的QPSK信号。2.2.2相位选择法利用相位选择法产生QPSK信号的系统方框图如图4所示。它实际上是对调相法的一种简化,把几个电路合并后封装在一起。图4中,四相载波发生器分别送出调相所需的4种不同相位的载波。按照串并变换器输出的双比特码元的不同,逻辑选相电路输

14、出相应相位的载波。例如,双比特码元ab为10时,输出相位为315的载波; ab为00时,输出相位为225的载波等。最后经过带通滤波器滤除高频分量,得到QPSK信号。2.2.3.QPSK调制原理图QPSK信号有00、01、10、11四种状态。所以,对输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们,QPSK信号实际上是两路正交双边带信号第四章基于Quartus II软件的QPSK码编码器的设计4.1 QPSK编码器的VHDL建模与程序设计4.1.1程序设计思想QPSK编码主要是用四种相位表示四进制数,基带信号通过串/并转换器得到2 位并行信号, 四选一开

15、关根据该数据, 选择载波对应的相位进行输出, 即得到调制信号,如下图所示:4.1.2调制电路VHDL 程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_QPSK isport( clk:in std_logic; -系统时钟 start:in std_logic; -开始调制信号 x:in std_logic; -基带信号 y:out std_logic); -调制信号end PL_QPSK;architecture

16、behav of PL_QPSK issignal q:integer range 0 to 7; -计数器signal xx:std_logic_vector(1 downto 0); -中间寄存器signal yy:std_logic_vector(1 downto 0); -2位并行码寄存器signal f:std_logic_vector(3 downto 0); -载波fbeginprocess(clk) -通过对clk分频,得到4种相位;并完成基带信号的串并转换beginif clkevent and clk=1 then if start=0 then q=0;f=0000; e

17、lsif q=0 then q=1;f(3)=1; f(2)=1;f(1)=0; xx(1)=x;yy=xx; elsif q=2 then q=3;f(2)=0; f(0)=1; elsif q=4 then q=5;f(3)=0; f(1)=1; xx(0)=x; elsif q=6 then q=7;f(2)=1; f(0)=0; elsif q=7 then q=0;f=0110;else q=q+1;end if;end if;end process;y=f(0) when yy=10 else f(1) when yy=11 else f(2) when yy=01 else f(

18、3); -根据yy寄存器数据,输出对应的载波end behav;4.2调试与分析4.2.1基带信号的设置本次设计基带信号的输入我选择的是1 0 1 1 0 0 0 1一个二进制序列,串并转换后变成10,11,00,01四进制数。4.2.2仿真的波形设置由于程序中一个四进制数持续的宽度是8个时钟周期,所以以一个二进制数的宽度就是4时钟周期,start信号设置为高电平。4.2.3仿真图4.2.4分析yy中的数实际上就是输入信号的并行输出,图中可以看出0110,0011, 1100, 1001四种不同的状态就对应了10,11, 00, 01四个数的不同相位输出,由此实现了QPSK调制输出。参考文献

19、1 樊昌信,张甫翊,徐炳祥. 通信原理M . 北京:国防工业出版社, 2001 2 余智,余兆明. 数字调制技术 J . 中国多媒体视讯, 2003 (7) : 22 - 26 3 刘建军,李杰,赵恩宝. 浅谈QPSK调制技术 J . 中国有线电视, 2004 (10) : 57 - 59 4 曹志刚,钱亚生. 现代通信原理M . 北京:清华大学出版社, 1992 5 张力,马忠松. 基于软件无线电的QPSK解调器仿真及实现 J . 中国有线电视, 2005 (6) : 557 561 6 郭梯云,邬国扬,李建东. 移动通信M . 西安:电子科技大学出版社, 2000心得与体会本次的设计使我从

20、中学到了一些很重要的东西,那就是如何从理论到实践的转化,怎样将我所学到的知识运用到我以后的工作中去。在大学的课堂的学习只是在给我们灌输专业知识,而我们应把所学的用到我们现实的生活中去,此次的设计给我奠定了一个实践基础,我会在以后的学习、生活中磨练自己,使自己适应于以后的竞争。我认为,积极地参与电子课程设计,不仅可以充分的体会到自己动手实践的乐趣,获得哪怕是前进一小步时候的那种成功的喜悦,还有以下的几点收获:一、温故而知新。课程设计发端之始,思绪全无,举步维艰,对于理论知识学习不够扎实的我深感“书到用时方恨少”,于是想起圣人之言“温故而知新”,便重拾教材与实验手册,对知识系统而全面进行了梳理,遇

21、到难处先是苦思冥想再向同学请教,终于熟练掌握了基本理论知识,而且领悟诸多平时学习难以理解掌握的较难知识,学会了如何思考的思维方式,找到了设计的灵感。 二、思路即出路。当初没有思路,诚如举步维艰,茫茫大地,不见道路。在对理论知识梳理掌握之后,茅塞顿开,柳暗花明,思路如泉涌,高歌“条条大路通罗马”。顿悟,没有思路便无出路,原来思路即出路。 三、实践出真知。时至今日,课程设计基本告成,才切身领悟“实践是检验真理的唯一标准”,才明晓实践出真知。不为则不知,无为则无知,实践出真知。 四、创新求发展。“创新”目前在我国已经提升到国家发展战略地位,足见“创新”的举足轻重。因此,我们要从小处着手,顺应时代发展潮流,在课程设计中不忘在小处创新,未必是创新技术,但凡创新思维亦可,未必成功,只要实现创新思维培育和锻炼即可。 五、过而能改,善莫大焉。至善至美,是人类永恒的追求。但是,不从忘却“金无足赤,人无完人”,我们换种思维方式,去恶亦是至善,改错亦为至美。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。“路漫漫其修远兮,吾将上下而求索!”我希望在以后的日子里能够与大家多多交流,分享感受,共同成长,共同进步

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号