数字图像处理课程报告基于FPGA的视频图像处理系统.doc

上传人:仙人指路1688 文档编号:2391692 上传时间:2023-02-17 格式:DOC 页数:25 大小:2.55MB
返回 下载 相关 举报
数字图像处理课程报告基于FPGA的视频图像处理系统.doc_第1页
第1页 / 共25页
数字图像处理课程报告基于FPGA的视频图像处理系统.doc_第2页
第2页 / 共25页
数字图像处理课程报告基于FPGA的视频图像处理系统.doc_第3页
第3页 / 共25页
数字图像处理课程报告基于FPGA的视频图像处理系统.doc_第4页
第4页 / 共25页
数字图像处理课程报告基于FPGA的视频图像处理系统.doc_第5页
第5页 / 共25页
点击查看更多>>
资源描述

《数字图像处理课程报告基于FPGA的视频图像处理系统.doc》由会员分享,可在线阅读,更多相关《数字图像处理课程报告基于FPGA的视频图像处理系统.doc(25页珍藏版)》请在三一办公上搜索。

1、 青 岛 工 学 院数字图像处理课程报告 基于FPGA的视频图像处理系统 学生姓名 学号 学 院 信息工程学院 专 业 电子信息工程 年级 2010级 青 岛 工 学 院摘要 由于指纹的唯一性和不变性,指纹识别己成为当前最流行、最方便、最可靠的个人身份认证技术之一。本文以自动指纹识别系统的处理流程为线索,介绍了系统的三个部分:指纹预处理、特征提取和指纹匹配。在前人工作的基础上,我们在各个环节都提出了自己的方法,结合那些经典的算法,在很大程度上提高了图像的处理效果与匹配结果。在指纹增强阶段,我们结合方向图与频率图修改了Gabor滤波器;在细化阶段,我们对传统的OPTA算法进行了一些改进;在指纹匹

2、配阶段,我们着重研究了基于点模式的细节匹配。此外,我们还成功的实现了各个算法,完成该指纹识别系统,经实验证明,该系统能够快速准确的识别指纹,达到了预期目的。 关键字:图像分割,图像增强,二值化,细化,特征提取,特征匹配 Abstract Fingerprint image enhancement process in the fingerprint image pre-processing has a very important role, directly affect the fingerprint recognition rate and recognition speed. Gene

3、ral image enhancement algorithm can not meet the requirements of the fingerprint identification system, ingerprint enhancement algorithm to improve the overall performance, are proposed a Gabor filter to enhance the fingerprint image and effectively eliminate noise, and Gabor filters parameters can

4、be quantified. The algorithm can make the image quality significantly enhanced to facilitate follow-up fingerprint feature extraction, fingerprint recognition algorithm to improve the efficiency and accuracy. Key words: Fingerprint enhancement intensification Gabor filter 目 录 第1章绪论1 11视频图像技术的发展和应用1

5、111视频图像技术的发展1 112视频图像技术的应用范围1 12课题的研究意义1 13论文组织结构2 第2章频图像处理的整体设计3 2.1视频信号的处理过程3 2.2典型视频图像处理系统3 23本论文视频图像处理系统的总体框图设计3 第3章系统开发平台FPGA技术及硬件选型4 31 FPGA的结构及开发流程4 311 FPGA的基本结构4 312 FPGA的开发流程4 32课题中FPGA的开发软件和器件概述4 321集成开发软件4 322 VertexlI Pro系列器件结构5 33DSP开发工具SystemGenerator7 331 System Generator for DSP7 33

6、2使用System Generator for DSP实现系统级建模7 第4章视频图像采集模块设计8 4. 1采集模块实现框图8 42视频输入处理芯片SAA71 13初始化8 421 SAA71 1 3概述9 422 总线时序分析9 43视频图像数据采集9 431 SAA71 13输出视频数据格式9 432视频图像采集的软件设计10 第5章视频图像算法模块设计11 51视频图像算法11 511图像平滑算法12 512图像锐化算法13 52模板卷积的实现16 53图像算法仿真结果及分析16 54硬件语言文件的生成17 参考文献18 致谢19第1章绪论11视频图像技术的发展和应用111视频图像技术

7、的发展 图像(视觉)信息是人们由客观世界获得信息的主要来源之一,约占人们依靠五官由外界获得信息量的70以上。因此由图像所提供的直观作用是其它途径获取信息所无法比拟的。视频图像就是连续的静态图像的序列,是一种对客观事物更为形象,生动地描述。随着电子技术和计算机技术的飞速发展,视频图像技术近年来得到了极大的重视和长足的发展,人们在选择学习和娱乐信息时,不再是单纯的枯燥文信息,丰富多彩的图片和视频信息越来越成为人们的首选。并且对视和图像处理技术的要求不断攀升,新的需求催生新的技术,主要体现在从标准清(SD)到高清(HD),分辨率越来越高,要求实时处理的数据量越来越大;视频和图像压缩技术日益复杂,如M

8、PEG4第2部分,H264AVC,JPEG2000等;对视频系统智能化的要求提高,如智能拍摄、运动检测、对象识别、多通道、画中画、透明叠加效果等;消费者欣赏能力的提高,希望图像更稳定、更清晰、色彩更艳丽、亮度更符合人眼的感官需求。112视频图像技术的应用范围 随着计算机技术和半导体工业的发展,视频图像处理技术的应用将更加广泛,总结其应用领域,大致有以下几个方面: (1)在通信和电子商务中的应用。当前通信的主要发展方向是声音、文字、图像和数据相结合的多媒体通信,也就是将电话,电视和计算机以三网合一的方式在数字通信网上传输。其中以视频图像通信最为复杂和困难,因其数据量十分巨大,如传送彩色电视信号的

9、速率达100Mbs以上。要将这样高的速率的数据实时传送出去,必须采用图像处理中的编码压缩技术来达到目的。 (2)在生物医学中的应用。视频图像处理在生物医学工程方面有非常广泛的应用,无论是临床诊断还是病理研究都采用图像处理技术,而且很有成就。它的直观、无创伤、第1章绪论安全方便等优点受到了普遍的接受。除了最成功的x射线、CT技术之外,还有一类是对医用显微图像的处理分析,即自动细胞分析仪,如红细胞、白细胞分类,染色体分析,癌细胞识别以及超声波图像的分析等。 (3)在军事、公安中的应用。在军事方面主要用于导弹的精确制导、各种侦察相片的判读,具有图像、传输、存储和显示的军事自动化、指挥系统,飞机、坦克

10、和军舰模拟训练系统等;在公安业务方面实时监控、案件侦破、指纹识别、人脸识别、虹膜识别以及交通流量监控、事故跟踪、银行防盗等。特别是目前已全面投入运行的高速公路不停车自动收费系统中的车辆和车牌的自动识别。12课题的研究意义 传统的视频图像处理技术主要用PC机来做实时图像处理,由于PC机的结构是基于冯诺依曼的复杂指令计算机,本质上是顺序执行指令,不能实现并行处理,故实时性很差;用数字信号处理专用芯片来做实时图像处理,由于数字信号处理专用芯片采用数据与程序空间相分离的哈佛结构,加上数字信号处理专用芯片比较适合做复杂的算法,可以实现一定的并行处理能力和容易实现一些算法,故用来做图像处理比PC机有一定优

11、势。不过随着新的需求,像实时压缩(H264)高清视频,最为强大的单片DSP也无法实现。因此就需要一种新的开发环境,实现视频图像处理技术的新要求。随着半导体工艺的不断进步,FPGA不仅告别了传统观念的价格昂贵,而且性能也显著提升,同时不断集成一些新的硬件资源,比如内嵌DSP块、内嵌RAM块、锁相环(PLL)、高速外部存储器接I(DDR)等,作为一个平台,FPGA显然已经非常适合于高性能的视频和图像技术,用FPGA来做实时图像处理,由于可编程逻辑的大容量、灵活性,可以实现图像极大的并行处理能力,速度可以比PC机和数字信号处理芯片快,可以实现SOPC(片上可编程系统),帮助用户定制系统,缩短产品研发

12、和更新换代的周期,快速做出有自己特色的,自主知识产权的产品,越来越多的研究人员开始致力于有关FPGA的视频图像处理技术。13论文组织结构 本论文主要研究的是基于FPGA的视频图像处理系统各功能模块的设计与实现,并基于FPGA的视频图像处理系统对这些模块算法进行仿真。全文共分5章,其内容安排如下: 第1章绪论。简要介绍了当前视频图像处理技术的发展和应用,本论文提出的意义以及论文的组织结构。 第2章视频图像处理的整体设计。首先简要地介绍视频信号及视频信号处理过程。其次在研究典型视频图像处理系统的前提下,设计基于FPGA的视频图像处理系统,系统主要包含两个部分:视频图像采集模块,视频图像算法模块。

13、第3章系统开发平台FPGA及硬件选型。讨论了FPGA的结构特点、开发流程及开发软件和视频图像处理系统的硬件选择。如何在MATLA中实现FPGA设计,以实现后端视频图像算法的处理。 第4章视频图像采集模块设计。视频图像采集、存储部分的具体实现。首先利用12 C总线对采集卡SAA7113初始化及配置;其次通过分析视频数据格式,采用软件的方式控制视频图像数据的采集;然后根据存储器的读写时序,完成存储器模块的设计。最后对各部分产生的仿真结果进行分析。 第5章视频图像算法模块设计。首先简述了常用的视频图像算法及滤波原理;然后根据模板卷积实现的框图,以基于模型的设计思想,MATLAB中应用Exilian公

14、司嵌入到Simul ink中的模块,构建一款频图像处理的模型,实现图像平滑和图像锐化算法。最后对不伺算法能进行仿真,并生成硬件描述语言及网表,在ISE中调用实现,并对硬件资源消耗情况做简要分析。 第2章频图像处理的整体设计2.1视频信号的处理过程 一幅平面图像可以看成是由许许多多的小单元组成,在图像处理系统中,这些组成画面的细小单元称为像素。像素越小,单位面积上的像素数目就越多,由其构成的图像就越清晰。电视系统中把构成一幅图像的各像素传送一遍称为进行了一个帧处理,或称为传送了一帧。将组成一帧图像的像素,按顺序转换成电信号的过程称为扫描。在PAL制中,图像扫描是隔行的,即一帧图像分两次扫描,扫描

15、到的两幅图像分别称作奇数场和偶数场。视频图像信号的处理过程就是拍摄视频信号的逆过程。摄像头输出的是标准PAL制电视信号。摄像头通过光电转换实现图像到视频信号的转换,也就是扫描的过程。摄像头每扫描一行图像,加入一个行脉冲,每扫描完一场图像加入一个场同步信号。同时了保证扫描逆程光栅不显示,应加入和同步信号同周期的消隐信号。对视频信号进行处理,需要先进行AD转换、行场同步信号的分离等步骤。采用专用的视频信号进行转换,然后再启用工具处理数字图像信号并得到需要的结果。最后将结果用适当的方式进行传输。2.2典型视频图像处理系统 典型的视频处理系统使用一个微处理器来控制一个视频流水线,该视频流水线包括一个视

16、频源和宿,一个用于存储视频数据的大型存储器,和个视频算法函数,实现一些算法处理功能。23本论文视频图像处理系统的总体框图设计 根据对视频信号处理的基本过程和对典型视频图像处理系统框图的理解,结合FPGA的开发优势,本论文构建的基于FPGA的视频图像处理系统框图,如图24所示。第3章系统开发平台FPGA技术及硬件选型31 FPGA的结构及开发流程311 FPGA的基本结构 它主要由可配置逻辑模块(CLB)、用户可编程IO(IOB)、BlockRAM、数字时钟管理模块(DCM)幂I硬件乘法器等组成。312 FPGA的开发流程 FPGA设计流程分为设计规范、设计输入、功能仿真、综合设计、布局布线、时

17、序仿真。32课题中FPGA的开发软件和器件概述321集成开发软件 目前,FPGA的常用丌发工具软件大体上可分为两类:一类是由专业的EDA软件开发公司提供的第二方软件,另一类是山FPGA芯片厂商直接提供的开发软件。在此仅对本论义所用剑的Exilian公司集成化,发工具ISE做简要介绍。322 VertexlI Pro系列器件结构 1嵌入式Power PC 405处理器 在VertexII Pro系列器件中,最多嵌入4个同样规模的Power PC 405处理器内核。每个处理器模块包含Power PC 405RISC硬核、OCM(OnChip Memory)控制器、时钟和控制逻辑、CPUFPGA接口

18、、各种内部总线等。2千兆位高速串行收发a(MGT)模块 在VertexII Pro系列器件中,Exilian嵌入了多达24个通道的千兆位高速串行收发器模块,可提供单通道全双工600Mbs一3125Gbs的数据传输能力。多个收发器组合起来应用,可使VertexII Pro系列器件实现高达75Gbs的有效带宽。3可配置逻辑模块(CLB) VertexII Pro系列器件的每个CLB模块由4个相同的Slice和附加逻辑构成,用于实现组合逻辑和时序逻辑。每个Slice由两个4输入函数发生器、进位逻辑、算术逻辑、存储逻辑和函数复用器组成。4输入函数发生器可以用于实现4输入查找表(LUT)、分布式RAM或

19、16位基于查找表的移位寄存器。每个CLB既可配置为分布式RAM,也可配置为分布式ROM。4数字时钟管理器(DCM) VertexII Pro系列器件提供了性能更高的数字时钟管理器(DCM)。DCM模块是基于Xilinx的其它系列器件所采用的数字延迟锁相环(DLL,DeIayLocked Loop)模块发展起来的。在时钟的管理和控制方面,DCM比DLL功能更强大,使用更灵活。系统中采用DCM设计可以实现零时钟漂移,消除时钟分配延迟,并实现时钟闭环控制;另外,使用DCM使时钟可以映射到PCB上用于同步外部芯片,这样就减少了对外部芯片的要求,将芯片内部的时钟控制一体化,以利于时钟设计。VinexII

20、 Pro系列器件的DCM共由四部分组成。其中最底层仍采用成熟的DLL模块;其次分别是数字频率合成器(DFS,DigitalFrequency Synthesizer)、数字移相器(DPS,DigitalPhase Shifter)和数字频谱扩展器(DSS,Di西tal Spread Spectrum)。基y-FPGA的视频图像处理系统。5可编程输入输出逻辑块(IOB) IOB模块用于提供FPGA内部逻辑与器件封装管脚之间的接口。VirtexII Pro系列器件的lOB在Select0技术基础上发展到系统级的System IO技术。该技术不仅支持常用的一些接口标准,而且提供内部端接电阻和数字控制

21、阻抗(DCI,Digital ControlledImpedance)技术,输出驱动强度控制,从而支持更复杂的系统接口,有效改善信号传输质量。这些接口包括:单端接口I,唧,、LVCMOS、SSTL3III、PCI、PCIX、AGP、AGP2X、SSTL21II、HSTL-lIIHIW、GTL和GTL+等;差分接口LVDS、BusLVDS、LVPECL、LDT;此外还支持DDR接口。为了能够适应系统中存在的各种接口标准,VirtexIIPro系列器件将IO引脚分成了8个块(Bank),每个Bank有各自的工作电压和参考电源。可根据当前使用的IO接口标准不同,设置不同的接口工作电压(vcco)和参

22、考电源(VREF)。6乘法-器(Multipler) VirtexII Pro系列器件提供多达556个嵌入式18位18位二进制乘法器。这些嵌入式乘法器可实现18位x 18位带符号高速乘法运算。18位18位的有符号乘法运算速度可达140MHz,而4位4位的有符号乘法运算速度可达255 MHz。乘法器模块不仅可以通过交换矩阵与18Kb的块存储器配合使用,也可以单独使用。乘法器模块的物理分布与块存储器的物理分布是一致的,两个模块彼此相邻,这种结构非常适合于高速的数字信号处理。7存储器体系结构(1)分布式存储器结构 分布式存储器(Distributed SelectRAM)是由CLB中的查找表(LUT

23、)实现的。每个CLB有8个UJT,可以构成8个16X 1或128X 1、642的存储器,通常用来构成小容量的片内存储器。这种存储器具有访问速度快的特点,可以达到05as,因此常常用于数字信号处理的数据缓存等。VirtexII Pro系列器件最多可提供15Mb的分布式存储器。(2)块存储器结构 块存储器(Block RAM)是FPGA内部的专用存储器模块,BRAM存储器是真正双口(True DualPort)RAM,在器件内提供了大量快速分散的存储器块。BRAM存储器的总量随着VirtexII Pro器件的规模而增长(高达38Mbit)。18Kb每块的BRAM块是可级联的,从而可支持更深和更宽的

24、存储器设计,同时通过专门的布线资源使得时序代价极小。块存储器可以配置成单端口BlockRAM或完全的双端口BlockRAM,双端口BlockRAM的结构和标准原型如图所示。33DSP开发工具SystemGenerator331 System Generator for DSP 本论文在开发视频图像算法中将采用Xilinx公司开发的基于MATLAB的SystemGenerator forDSP工具114J。SystemGenerator for DSP是基于FPGA的信号处理建模和设计工具。在MATLABSimulink的环境下完成算法的建模和设计,可以将一个DSP系统表示为一个高度抽象的模块,

25、并自动将系统映射为一个基于FPGA的硬件方案,而且SystemGenerator for DSP实现这些功能并没有降低硬件性能。此外它还能自动生成硬件描述语言,测试程序,支持软硬件仿真,且支持用户创建的Simulink模块,并能在XilinxFPGA上自动实现硬件系统。ISE可对工程进行仿真、综合、最后完成算法的硬件化。332使用System Generator for DSP实现系统级建模第4章视频图像采集模块设计 由第二章设计的系统总体框图可知,视频图像处理可以主要分为两大模块:视频图像采集模块和视频图像算法模块。本章主要介绍视频图像采集模块设计。4. 1采集模块实现框图42视频输入处理芯

26、片SAA71 13初始化 本设计中SAA7113的初始化是利用l2C总线来实现的,使之开始正常工作。从CVBS端口引进的电视信号构成极为复杂。我们采用了Philips公司的视频输入处理芯片SAA7113来完成数据的AD转换。FPGA通过12 C总线和SAA7113相连接,可以轻松的对其进行配置,以获取需要的数据信息。421 SAA71 1 3概述 SAA7113是Philips公司的一种高集成度视频解码芯片1191,采用CMOS工艺,在很多视频产品如电视卡、MPEG2、MPEG4中都有应用,支持隔行扫描和多种数据输出格式,通过简洁的总线与采样控制器连接可方便地构成图像采集系统。本系统对视频解码

27、芯片SAA7113的控制连接电路。422 总线时序分析 (Inter-Integrated Circuit)总线是由Philips公司开发的串行两线式总线,自80年代产生以来,由于其简单性和可靠性,被广泛应用于集成电路(IC,IntergratedCircuit)及外围设备中仅需要两条线工作,串行数据线SDA和串行时钟线SCL。通过简单的主从协议,每个连接到总线上的设备都具有唯一的软可编址的地址。作为主设备或从设备,取决于其在某时刻所起的功能,由主设备发起数据传输。在标准模式传输中,串行8位传输方式和双向传输方式能达到100Kbits的速度。 43视频图像数据采集 开始采集视频时,SAA711

28、3输出视频图像数据通过8位总线VPO传输给FPGA。由于PAL制电视信号是隔行扫描,分为奇数场和偶数场传输,数字化以后仍然格式不变,因此需要将奇数场和偶数场的数据还原成一幅完整的图像。本论文通过分析视频数据流中的“FF 00 00 SAVEAV”时间参考代码段,获得奇偶场信号,场参考信号,行参考信号,有效行数据开始和结束信号,并根据这些信号,编写Verilog语言控制数据的采集。431 SAA71 13输出视频数据格式 SAA7113数字化后输出的视频图像数据是标准的11rU656 YUV 4:2-2格式,YUV颜色空间是PAL电视信号传输过程中基本的格式,它充分利用传输通道的带宽。Y分量代表

29、黑白亮度分量,U和V分量表示彩色信息,输出数字视频信号数据格式表41 SAA7113输出的数据格式Tab41 SAA71 13 output data format1rIMlNG 11MINGBLANKING REFERENCE 72D PlXELS YUV 4:2:2 DATA REFERENCEPERIODCODE CORE80 10 FF 00 00 SAV Cb0 Y0 Cm Y1 Y719 FF 00 00 EAV表41中,“80 10”表示当前视频信号处于行消隐阶段。“FF 00 00 SAV”是时间参考代码段,标志有效视频数据的开始。其中“SAV”是“有效视频数据的开始”(Sta

30、rtof Activevideo)。“Cb0Y0 CrO Y1Y719是有效数据段。Cbn:U(B色差分量,n是像素标号n=0,2,4718,Yn:Y亮度分量,n是像素标号n=0,1,2719,CmV(RY)色差分量,n是像素标号n=0,2,4718,“FF00 00 EAV是时间参考代码段,标志有效视频数据的结束。其中EAV是“有效视频数据的结束(End of Active Video)。SAA7113对SAV和EAV数据格式的定义如表42所示。第7位 第6位 第5位 第4位 03位场标志位,第一 处于场消隐阶段 在SAV中为“0”始终为“1 场为0”,第 为“1”,有效数 在EAV中为“1

31、 保留二场“1 据阶段位为“0”从表42中可以看到在完整的一帧图像数据中第一场场消隐阶段SAV为“1010XXXX”,第一场有效数据阶段SAV为“1000XXXX。“X表示该位的状态没有作用。其它场的SAV和EAV状态类推。432视频图像采集的软件设计(1)软件设计分析 8位总线数据VPO传输给FPGA,FPGA需要将数据保存到SRAM中,由于PAL制电视信号是隔行扫描,奇数场和偶数场分别传输,因此在将数据保存到SRAM之前,需要将奇数场和偶数场的数据还原成一幅完整的图像。通常的设计都是利用SAA7113的RST0,RSTl两个引脚判断控制信号,但控制信号有场参考信号VREF、行参考信号HRE

32、F和奇偶场信号3个,所以剩下的奇偶场信号需要通过计数器来模拟,给设计带来繁琐且准确度不高。此外在实践中发现,采用该设计方法有时候难以保证每一行采集的有效数据位置都一样,容易导致图像错位。基于上述两点考虑,决定利用视频数据流的格式标准,来识别需要得到的图像数据,目前这种方法在视频采集系统中应用还不多。利用数据流的格式标准,通过分析VPO总线上视频数据流中的“FF 00 00SA眦V”时间参考代码段,来识别奇偶场信号、场消隐信号和有效行数据的开始和结束。可以获得奇偶场信号,场消隐信号,行消隐信号,有效行数据开始和结束信号,根据这些控制信号,可以将奇数场和偶数场的数据还原成一幅完整的图像。确定了SA

33、A7113采集方法,可以利用Verilog语言编写出FPGA采集基T FPGA的视频图像处理系统SAA7113输出数据流的程序。以下是采集一行视频数据时的流程图,如图 采集一行视频数据时的流程图第5章视频图像算法模块设计 在实际应用系统,经常会由于一些噪声、光照等因素使图像的质量往往不高,为了便于显示、观察或进一步的处理,常常需要对原始的数字图像进行特征提取、噪声平滑滤波、几何校正等处理,这类图像处理技术称为图像的低级处理。在低级处理中,图像处理算法具有数据量与运算量大,算法简单的特点,因此,该环节在系统中最为耗时,对整个系统速度影响较大。目前,越来越多的算法可以用硬件来实现。但是,由于图像处

34、理算法的复杂性、多样性,一个系统只能采用一种结构,限制了其应用范围;而且在实现和调试各种视频算法时,需要通过软件和硬件仿真来验证其功能性,视频流的实时特性,令视频处理应用的仿真产生了挑战。本论文提出的是一种在System Generatorfor DSP开发环境中,用基于模型的方式设计视频图像算法的硬件平台,其优点是灵活性强,设计周期短,算法验证方便,利于开发者改进新的算法,是视频图像处理发展趋势。51视频图像算法 图像平滑滤波和图像锐化滤波是常见的图像增强算法。平滑滤波用于模糊处理和减小噪声,可用低通滤波器实现;锐化滤波为了增强被模糊的细节边缘,可用高通滤波器实现。不管使用何种滤波器,基本的

35、滤波算法是对图像模板下面的像素与模板系数的乘积求和,也称为模板卷积。主要步骤为:(1)将模板在图像中漫游,并将模板中心与图像中某个像素重合;(2)将模板上系数与模板下对应像素相乘;(3)将所有乘积相加;(4)将模板的输出响应赋值给图中对应模板中心位置的像素。 如图52 a)给出图像的一部分,其中所标为一些像素的灰度值,现在假设有一个33的模板如图52 b)所示,模板内所标为模板系数,如将所在位置与图中灰基于FPGA的视频图像处理系统度值为s。的像素重合,模板的输出相J妇为R=koSo+k 1 Sl+k (51)511图像平滑算法 平滑滤波器用于模糊处理和减小噪声,其原理是平滑滤波器能减弱或消除

36、傅立叶空间的高频分量,而高频分量对应图像中的区域边缘等灰度值具有较大较快变化的部分,滤波器将这些分量滤去可使图像平滑。图像平滑滤波,属于线性低通滤波器,需用到模板操作。平滑模板的思想是通过一点和周围几个点的运算(通常为平均运算)来去除突然变化的点,从而滤掉一定的噪声,但图像有一定的模糊。模板操作实现了一种邻域运算,即某个像素点的结果不仅和本像素灰度值有关,而且和其邻域点的值有关。邻域平均法是一种对局部空间处理的算法,这种方法的基本思想是用几个像素灰度的平均值来代替每个像素的灰度。假定有一幅NN个像素的图像触,y),平滑处理后得到一幅图像gG,y)。g(x,y)由下式决定。咖)|古伽黔)(52)

37、式中:X,y=0,1,2,N1, S是G,y)点邻域中点的坐标的集合,但其中不包括(x,Y)点,M是集合内坐标点的总数。上式说明,平滑后的图像g(x,y)中的每个像素的灰度值均由包含在0,y)的预定邻域中的几个像素的狄度值的平均值来决定。 一种常见的平滑算法将原图中一个像素的灰度值和它周闱邻近像素的狄复值相加,然后将求得的平均值(除以25)作为新图像中该像素的灰度值。如下方法来表示该操作。以上模板虽然简单常见,但是其平滑效果不是很好,图52 b1为使用这一模板后的仿真图,经过大量实验,本论文将采用的一下55的平滑模板。MATLAB工具f26l对两个模板进行仿真结果如图52所示。由图可以看出,本

38、论文采用的模板对原图像起到平滑的作用,而不使图像失真。512图像锐化算法 图像锐化的目的是使边缘和轮廓线模糊的图像变得清晰,并使其细节清晰。边缘和轮廓一般都位于灰度突变的地方,因此可以用灰度差分提取出来。然而,由于边缘和轮廓在一幅图像中常常具有任意方向,而差分运算是有方向性的,因此与差分方向一致的边缘和轮廓便检测不出来,因而希望找到一些各向同性的检测算子,他们对任意方向的边缘和轮廓都有相同的检测能力。具有这种性质的锐化算子有梯度算子、拉普拉斯(Laplacian)算子。其中,Laplacian算子在用于边缘检测时,对噪声过于敏感,要得到好的边缘检测效果,往往在使用Laplacian算子后,还需

39、要施以阈值运算。一般说来,Laplacian检测边缘的效果不如梯度算子好。因此本论文在做图像锐化处理的时候采用梯度算子的方式,以下介绍几种常用的梯度算予Robert、Sobel和Prewitt算子。(1Robert算子梯度运算是图像处理的最常甩的微分方法。设图像灰度函数为2可,夕),x,Y为像素坐标,则它在点f(X,Y)处的梯度、梯度大小、相位公式分别表示为:(2)Sobel算子 用Robert算子锐化图像时,图像中的噪声、条纹等同样得到加强,这在图像处理中会造成伪的边缘和轮廓。Sobel算子则在一定程度上克服了这个问题。Sobel算子的基本思想是:以原图像的任意像素“,_)为中心,分别计算窗

40、口中心像素机,y方向上的梯度。增对于数字图像,由于其计算公式的繁简对处理工作量影响较大可以看出,Sobel算子在计算X方向和Y方向上的梯度时,不像普通梯度算子那样只用两个像素灰度差值来表示,而是采用两列或两行像素灰度加权和的差值来表示,这使得Sobel算子具有如下优点:幻由于引入加权平均,因而对图像中的随机噪声具有一定的平滑作用。b)由于Sobel算子采用间隔两行或者两列的差分,所以图像中边缘两侧的像素得到增强。Sobel算子得到的锐化图像的边缘显得粗而亮。Sobel算子可以通过以下两个模板实现:(3)Prewitt算子Prewitt算子与Sobel算子区别仅在于选用的模板不同。采用MATLA

41、BT具仿真三个边缘检测算子如图53所示。由上图可以发现,Sobel算子和Prewitt算子的效果比较好。因此本论文将实现Sobel算子实现边缘检测。52模板卷积的实现 模板卷积运算是图像低级处理中最基础、最重要的算法之一,以上介绍的几种常见图像算法均需要模板卷积来实现,不同之处只在选择不同的乘积系数,因此模板卷积的实现是实现各个图像算法的关键所在。 ,在图像算法中,所使用的模板需要的是二维卷积,也就是二维滤波,而一维卷积是二维卷积的基础,所以先讨论一维卷积模板匹配的实现。521一维卷积实现对于两个长度分别为m和n的序列f(i)和g(J),可以给出一个长度为N=m+n1的输出序列:53图像算法仿

42、真结果及分析 原始图像通过本论文搭建的系统模型处理,将公式(520)的模板值带入仿真模型时,可以得到图59的仿真结果,与图52 b)比较可以看出,经过硬件模型搭建的算法能够达到平滑滤波的效果同理将将式(521)的SobelX和SobelY的模板值带入搭建的系统中,得到仿真结果如图510和511所示。将用Sobel算子图像算法结果与用MATLAB仿真出的图做比较,可以看出滤波后图像的效果能够达到锐化算法要求。54硬件语言文件的生成 在正确完成Simulink的建模仿真之后,双击System Generator模块并单击Generator按钮来生成图像算法模型的Verilog语言等项目文件。目标器

43、件选为VirtexIIPro。图512为自动生成的硬件语言及网表成功的标识。可以从ShowDetails中可以看出整个生成过程需要461436 seconds。参考文献1吴继华将低成本FPGA用于视频和图像处理电子设计应用2007,01:26302刘直芳,王运琼,朱敏数字图像处理与分析北京:清华人学出版卒十,20063任晓东,文博CPLDFPGA高级应用开发指南北京:电子工业出版社,20034赵坚勇电视原理与系统西安:电子科技出版社,20055俞斯乐电视原理北京:国防工业出版社,20056裴昌幸,刘乃安电视原理与现代电视系统西安:电子科技大学出版社,19977刘富强数字视频监控系统开发及应用。

44、北京:机械工业出版社,20038王诚,薛小刚,钟信潮FPGACPLD设计工具Xilinx ISE使用详解北京:人民邮电出版社,9徐欣,于红旗,易凡等基于FPGA的嵌入式系统设计Xilinx Edition北京:机械。丁:业出版社,200510孙航xil inx可编程逻辑器件的高级应用与设计技巧北京:电子:业出版社,200411矸英,李新新,姜宇柏ISE应用与开发技巧北京!机械:T:业出版社,200712刘建清从零开始学CPLD和Verilog HDL编程技术北京:国防一l:业出版社,200613J. M. Bonard, M. Croci, C. Klinke, R. Kurt, O. Noury, and N. Weiss,Carbon 40, 1715 2002.14B. Shan and K. Cho, Phys. Rev. Lett. 94, 236602 2005.15G. Zhou and Y. Kawazoe, Phys. Rev. B 65, 155422 2002.致谢 在本课程设计完成之际,我首先要特别向我的老师王克进表达我最诚挚的谢意。恩师治学严谨,待人宽厚,在整个课程设计期间无论

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号