三位二进制加法计数器(精).doc

上传人:仙人指路1688 文档编号:2527243 上传时间:2023-02-20 格式:DOC 页数:17 大小:409.50KB
返回 下载 相关 举报
三位二进制加法计数器(精).doc_第1页
第1页 / 共17页
三位二进制加法计数器(精).doc_第2页
第2页 / 共17页
三位二进制加法计数器(精).doc_第3页
第3页 / 共17页
三位二进制加法计数器(精).doc_第4页
第4页 / 共17页
三位二进制加法计数器(精).doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《三位二进制加法计数器(精).doc》由会员分享,可在线阅读,更多相关《三位二进制加法计数器(精).doc(17页珍藏版)》请在三一办公上搜索。

1、成 绩 评 定 表学生姓名班级学号专 业自动化课程设计题目数字电子课程设计评语组长签字:成绩日期 20 年 月 日课程设计任务书学 院信息科学与工程学院专 业自动化学生姓名班级学号课程设计题目1.三位二进制加法计数器(无效态:001,110) 2.序列信号发生器的设计(发生序列100101) 3.100进制加法计数器设计实践教学要求与任务:数字电子部分1) 采用multisim 仿真软件建立电路模型;2) 对电路进行理论分析、计算;3) 在multisim环境下分析仿真结果,给出仿真波形图。工作计划与进度安排:第1天:1.布置课程设计题目及任务。2.查找文献、资料,确立设计方案。第2-3天:1

2、. 安装multisim软件,熟悉multisim软件仿真环境。2. 在multisim环境下建立电路模型,学会建立元件库。第4天:1. 对设计电路进行理论分析、计算。2. 在multisim环境下仿真电路功能,修改相应参数,分析结果的变化情况。第5天:1. 课程设计结果验收。2. 针对课程设计题目进行答辩。3. 完成课程设计报告。指导教师: 201 年 月 日专业负责人:201 年 月 日学院教学副院长:201 年 月 日目录1 课程设计的目的与作用11.1设计目的及设计思想11.2设计的作用11.3 设计的任务12 所用multisim软件环境介绍13 三位二进制同步加法计数器设计33.1

3、 基本原理33.2 设计过程34序列信号发生器的设计.64.1 基本原理64.2 设计过程66 100进制加法器计数器7 6.1 基本原理7 6.2 设计过程75 仿真结果分析85.1 三位二进制同步加法计数器仿真85.2 序列信号发生器(发生序列100101)的仿真116 设计总结和体会147 参考文献141 课程设计的目的与作用1.1设计目的及设计思想 根据设计要求设计三位二进制加法计数器和序列信号发生器,加强对数字电子技术的理解,进一步巩固课堂上学到的理论知识。了解计数器和序列信号发生器的工作原理。 1.2设计作用通过multisim软件仿真电路可以使我们对计数器和序列信号发生器有更深的

4、理解。学会分析仿真结果的正确性,与理论计算值进行比较。通过课程设计,加强动手,动脑的能力。 1.3设计任务1. 设计一个三位二进制同步加法计数器,要求无效状态为001,110。2. 设计一个序列信号发生器,要求发生序列100101。2 所用multisim软件环境介绍multisim软件环境介绍Multisim是加拿大IIT公司(Interrative Image Technologies Ltd)推出的基于Windows的电路仿真软件,由于采用交互式的界面,比较直观、操作方便,具有丰富的元器件库和品种繁多的虚拟仪器,以及强大的分析功能等特点,因而得到了广泛的引用。针对不同的用户,提供了多种版

5、本,例如学生版、教育版、个人版、专业版和超级专业版。其中教育版适合高校的教学使用。Multisim 7主界面。启动Multisim,就会看到其主界面,主要是由菜单栏、系统工具栏、设计工具栏、元件工具栏、仪器工具栏使用中元件列表、仿真开关、状态栏以及电路图编辑窗口等组成。如下图2.2.1所示。Multisim 7提供了丰富的元器件。这些元器件按照不同的类型和种类分别存放在若干个分类库中。这些元件包括现实元件和虚拟元件。所谓的现实元件给出了具体的型号,它们的模型数据根据该型号元件参数的典型值确定。而所谓的虚拟元件没有型号,它的模型参数是根据这种元件各种元件各种型号参数的典型值,而不是某一种特定型号

6、的参数典型值确定。另外,Multisim 7元件库中还提供一种3D虚拟元件,这种元件以三维的方式显示,比较形象、直观.。Multisim 7容许用户根据自己的需要创建新的元器件,存放在用户元器件库中。路2.2.2图所示。图2.1multisim电路编辑窗口Multisim 7提供了品种繁多、方便实用的虚拟仪器。比如数字万用表、信号发生器、示波器等17种虚拟仪器。点击主界面中仪表栏的相应的按钮即可方便地取用所需的虚拟仪器如图2.2.3所示。 图2.2multisim元件库图2.3虚拟仪器3 三位二进制同步加法计数器设计电路3.1基本原理设计一个三位二进制同步加法计数器,要求无效状态为000,11

7、0。000 010 011 100 101 111图3.1 状态图 排列3.2设计过程 选择触发器,求时钟方程、输出方程和状态方程 a选择触发器 由于JK触发器的功能齐全,使用灵活,在这里选用3个CP下降沿触发的边沿JK触发器。 b求时钟方程 采用同步方案,故取 (1-1)CP是整个要设计的时序电路的输入时钟脉冲。 c求状态方程 由1-1所示状态图可直接画出1-2所示电路次态卡诺图。再分解开便可以得到如图1-3所示各触发器的卡诺图。 Q1nQ0n Q2n 00 01 11 10010100011101111000 图3.2次态卡诺图Q1nQ0n Q2n 00 01 11 10010110 a的

8、卡诺图 Q1nQ0n Q2n 00 01 11 10101010 b的卡诺图Q1nQ0n Q2n 00 01 11 10001110 c的卡诺图 图3.3各触发器次态的卡诺图显然,由图2-3所示各卡诺图便可以很容易的得到 (2-2) 求驱动方程JK触发器的特性方程为 (2-3)a 变换状态方程,使之与式(2-3)的形式一致 (2-4)b 比较特性方程求驱动方程 (2-5) 画逻辑电路图根据所选用的触发器和时钟方程,输出方程,驱动方程,便可以画出如图2-4所示的逻辑电路图。图3.4三位二进制同步加法计数器逻辑电路图 检查电路能否自启动 , ,可见在CP操作下都能回到有效状态,电路能够自启动。4序

9、列信号发生器的设计(发生序列100101)4.1基本原理序列信号发生器是能够依据时钟脉冲信号输出规定序列代码的一种时序电路。序列信号发生器的设计方法同序列检测器,只是不存在输入信号X。4.2设计过程序列发生器(发生序列100101)的特性表Y0001010 00110100110101111 图4.1发生器特性表输出方程设计电路图根据上题设计的三位二进制加法器,用来设计这个序列中的六个不同的数值,这样可以很容易的观察这个序列的变化。图4.2序列信号发生器逻辑图5 100进制加法计数器 5.1 基本原理 74161是一个具有异步清零、同步置数、可保持状态不变的4位二进制同步加法计数器。 5.2

10、设计过程用74161芯片设计100进制加法计数器,采用异步清零方式,应选择状态进行译码,所以;画电路连接图 图5.1 100进制加法计数器6 仿真结果分析6.1三位二进制同步加法计数器仿真在Multisim 10上开始对三位二进制同步加法计数器仿真,结果如图6.1所示。 (1)状态000 (2)状态010 (3)状态011 (4)状态100 (5)状态101 (6)状态111图6.1三位二进制同步加法计数器仿真6.2序列信号发生器(发生序列100101)的仿真在Multisim 10上开始对序列信号发生器(发生序列100101)的仿真,结果如图6.2所示(1) 发生1(2)发生0 (3)发生0(1) 发生1(2) 发生0(3) 发生1图6.2序列信号发生器(发生序列100101)的仿真7设计总结和体会通过此次课程设计,使我更加扎实的掌握了有关数字电子线路方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。8 参考文献 余孟尝.数字电子技术基础简明教程.3版.北京:高等教育出版社,2006. 王革思.数字电路原理、设计与实践教程.哈尔滨:哈尔滨工程大学出版,2007.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号