电梯控制器的设计及实现.doc

上传人:laozhun 文档编号:2882116 上传时间:2023-03-01 格式:DOC 页数:42 大小:791.50KB
返回 下载 相关 举报
电梯控制器的设计及实现.doc_第1页
第1页 / 共42页
电梯控制器的设计及实现.doc_第2页
第2页 / 共42页
电梯控制器的设计及实现.doc_第3页
第3页 / 共42页
电梯控制器的设计及实现.doc_第4页
第4页 / 共42页
电梯控制器的设计及实现.doc_第5页
第5页 / 共42页
点击查看更多>>
资源描述

《电梯控制器的设计及实现.doc》由会员分享,可在线阅读,更多相关《电梯控制器的设计及实现.doc(42页珍藏版)》请在三一办公上搜索。

1、J I A N G S U U N I V E R S I T Y 本 科 毕 业 论 文 电梯控制器的设计及实现The Design and Realization of the Elevator Controller学院名称: 电气信息工程学院 专业班级: 电子信息工程0702 学生姓名: 黄 超 指导教师姓名: 陆 继 远 指导教师职称: 讲 师 2011年6 月电梯控制器的设计及实现专业班级:电子信息工程0702 学生姓名: 黄 超指导教师: 陆 继 远 职 称: 讲 师摘要 在现代社会和经济活动中,计算机技术、自动控制技术和电力电子技术得到了迅速的发展,电梯已经成为城市物质文明的一种

2、标志。随着经济建设的持续高速发展,我国电梯需求量越来越大,电梯生产已成为我国一门极具前景的新兴产业。针对我国电梯业现状,本设计以电子设计自动化(Electronic Design Automation)技术中的高速集成电路硬件描述语言(VHDL)编写六层电梯控制程序,实验调试平台是Altera公司的QuartusII软件。本设计具有外部数据高速采集模块、信号存储模块、基于FPGA的中央处理模块、信号的输出及显示模块。通过程序调试及运行仿真,结果表明,本程序可以完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、看门狗报警、超载报警、故障报警等。本设计对更高层的电梯控制设计具有一定的拓展

3、性。关键词:电梯控制器 EDA VHDL QuartusII The Design and Realization of the Elevator ControllerAbstract In the modern social and economic activities, computer technology, automatic control technology and power electronics technology has been rapid developed, the elevator has become a sign of urban material. Wi

4、th the sustained and rapid development of economic construction, Chinas demand for elevators is increasing, lift production has become one of promising new industries in China . Against the status of Chinas elevator industry,this thesis designs the six-floor lift control basis on the Very High Speed

5、 Integrated Circuit Hardware Description Language (VHDL) in the electronic design automation(EDA),it is debuged in the Altera companys QuartusII software as a platform in experiment. The design has high-speed external data acquisition module, signal storage modules,FPGA-based central processing modu

6、le and signal output and display modules.Through testing the connection of the EDA case,it realizes the following main functions: the lifts floor shows,the lift operation direction shows,close prolonging,the dog alarm,overloads alarm,fault alarm,etc.The design can make some evolution for designing t

7、o the senior level lift control.Key words: Elevator Controller EDA VHDL QuartusII目 录引 言1第一章 绪 论21.1 问题的提出21.2 单片机技术在电梯控制中的应用21.3 PLC技术在电梯控制中的应用21.4 EDA技术在电梯控制中的应用31.5 方案选择4第二章 设计基础62.1 EDA技术介绍62.2 VHDL语言介绍72.2.1 VHDL结构与要素82.2.2 VHDL的特点92.2.3 VHDL的设计步骤112.3 QuartusII软件介绍11第三章 工作原理、程序设计及调试123.1 工作原理12

8、3.1.1 外部数据高速采集模块设计123.1.2 信号存储模块123.1.3 基于FPGA的中央处理模块123.1.4 信号的输出、显示模块123.2 程序设计133.2.1 电梯运行规则133.2.2 程序流程分析163.2.3 端口、寄存器说明173.2.4 模块设计说明183.2.5 具体语句设计说明193.3 程序调试22第四章 程序仿真254.1建立波形输入254.2电梯功能的实现与仿真264.2.1 仿真步骤264.2.2 电梯功能实现与仿真结果分析27结 论31致 谢32参考文献33附 录34引 言EDA(Electronic Design Automation)技术作为现代电

9、子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅仅局限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对硬件系统功能的实现。针对目前中小型电梯所能实现的功能,本控制器可控制电梯完成6层楼的载客服务,而且遵循方向优先原则,并能响应提前关门和延时关门,具有超载报警和故障报警功能,同时指示电梯运行情况和电梯

10、内外请求信息。本设计具有外部数据高速采集模块、信号存储模块、基于FPGA的中央处理模块、信号的输出及显示模块。本设计的主要工作是利用VHDL语言编程实现六层电梯控制器各个模块的功能,并用QuartusII对本文进行设计,讨论六层电梯控制器设计的思路、示意图、结构图、流程图及程序仿真图。将设计在EDA工具QuartusII下进行时序仿真,得到仿真结果,验证六层电梯控制器设计的正确性。针对我国楼层层数的基本水平,本着“一理通,百理明”的原则,本设计希望通过设计简单的六层电梯控制器,为广大电梯设计者提供一个设计基础。第一章 绪 论1.1 问题的提出人口压力和土地资源短缺的矛盾日趋激化,我国地少人多的

11、国情注定了我们必须有效解决人与土地的矛盾。兴建高层建筑是有效措施之一,随之高楼大厦的耸立,电梯业便应运而生。随着我国城镇化程度的加大,电梯市场的发展越来越迅速,人们对电梯的要求越来越高。如何更快捷、更安全地到达目的楼层,成为了人们对电梯的最根本的要求。因此,本设计就六层电梯控制器设计为例,结合EDA技术对电梯控制进行设计。1.2 单片机技术在电梯控制中的应用单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等

12、电路)集成到一块硅片上构成的一个小而完善的计算机系统。单片机体积小,价格低廉,处理速度快,可靠性好,易扩展,控制功能强,易开发,是合适的控制系统。对电梯的控制主要是选层、启层、换速、平层、停车等几个环节,其中以选层环节最为复杂。与通常的电器控制相比,单片机系统不需要通过“选层器”并且配备以大量的中间继电器作为选层电路的控制设备,避免了设备多,检修困难,运行维护不便,造价成本高。应用微机控制可以取消选层器和大量中间继电器。而且应用单片机控制又相当于应用其他微机减少了外围设备的接口芯片,增强了可靠性。1.3 PLC技术在电梯控制中的应用PLC(可编程控制器)作为一种工业控制微型计算机,它以其编程方

13、便、操作简单尤其是它的高可控性等优点,在工业生产过程中得到了广泛的应用。它应用大规模集成电路,微型机技术和通讯技术的发展成果,逐步形成了具有多种优点和微型,中型,大型,超大型等各种规格的系列产品,应用于从继电器控制系统到监控计算机之间的许多控制领域。随着社会的不断发展,楼房越来越高,而电梯成为了高层楼房的必须设备。由当初的继电器逻辑控制电路到今天广为应用的可编程逻辑控制器及微机控制系统,电梯控制的发展经历了相当一段历程。为了实现电梯的控制,过去大多是采用继电器逻辑电路,这种逻辑控制方式具有原理简单、直观的特点,但通用性较差,对不同的楼层和不同的控制方式,其原理图、接线图等必须重新制作,且控制系

14、统由许多继电器触点组成,接线复杂、故障率高。因此,它逐渐被可靠性高、通用性强的可编程序控制器及微机控制系统所代替。由PLC或微机实现继电器的逻辑控制功能,具有较大的灵活性,不同的控制方式可用相同的硬件,只是软件各不相同。只要把按钮、限位开关、光电开关、无触点行程开关等电器元件作为输入信号,而把制动器、接触器等功率输出元件接到输出端,就算完成了接线任务。通常,电梯功能、层数变化时,无需增减继电器和大量的电路。PLC是一种用于自动控制的专用微机,实质上属于微机控制方式。PLC在设计和制造上采取了许多抗干扰措施,输入输出均有光电隔离。能在较恶劣的各种环境里工作、可靠性高,适合于安全性要求较高的电梯控

15、制。PLC将CPU、存储器、I/O接口等做成一体,使用方便,扩展容易。具有继电器系统的直观、易懂、易学,应用操作和调试方便等优势。因此,目前在国产电梯及中低档的客梯广泛应用了PLC控制系统,特别适用在用电梯的技术改造。电梯既是一种特殊的起重运输设备,具有完善的机械专用结构,又是一种比较复杂的机电一体化的大型工业产品,具有复杂的电气控制系统。就电梯的控制方法而言,目前国产电梯广泛采用可编程控制器技术的智能化控制。由于这种控制属于随机控制,各种输入信号之间、输出信号之间以及输入信号和输出信号之间互相关联,逻辑关系处理起来非常复杂,这就给PLC编程带来很大难度。从这种意义上来说,PLC编程水平的高低

16、就决定电梯运行状态的好坏,因此PLC应用在电梯控制中的编程技术就成为控制电梯运行的关键技术。PLC充分利用了微型计算机的原理和技术,具有很强的逻辑处理能力,在电梯运行控制中发挥了重要作用。由于电梯在运行过程中各种输入信号是随机出现的,即信号的出现具有不确定性,同时信号需要自锁保持、互锁保存、优先级排队、数据比较等,因此信号之间就存在复杂的逻辑关系。所以在电梯的运行控制中,PLC的编程工作主要是针对各种信号进行逻辑判断和处理。1.4 EDA技术在电梯控制中的应用在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推进又相互制约的两个技术环节。前者代表了物理层在广度和深度

17、上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。因此,严格的说,EDA技术应该是这两者的结合,是这两种技术领域共同孕育的奇葩。EDA技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计技术、ASIC测试和封装技术、FPGA(Field Programmable Gate Array)/CPLD(Complex Programmable Logic Device)编程下载技术、自动测试技术等;在计算机辅助工程方面融合了计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)、计算机辅助

18、工程(CAE)技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了跟多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及基于微波技术的长线技术理论等。因此EDA技术为现代电子理论和设计的表达与实现提供了可能性。EDA技术已不是某一学科的分支,或某种新的技能技术,它应该是一门综合性学科,它融合多学科于一体,又渗透于各个学科之中。它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,在语言易读性和层次化

19、结构化设计方面,表现了强大的生命力和应用潜力。EDA使得设计者的工作仅限于利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。正因EDA在设计控制系统中的这些特点,在电梯控制电路上采用EDA技术进行开发,越来越受到人们的重视。1.5 方案选择对于符合市场需求的大规模系统,要达到高效、高速完成,必须有多人甚至多个开发组共同并行工作才能实现。对于用EDA技术完成的一个确定的设计,可以利用相应的工具平台进来逻辑综合和优化,完成设计任务。基于EDA技术的VHDL语言对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。这为电子设计的入门者提

20、供了便捷的帮助。相信在不远的将来,我国相关的专业技术人员使用EDA技术进行工程设计,就像现在使用计算器一样,虽然大部分人不能开办集成电路制造厂,但是却能快速、经济地制造(设计)自己的专用集成电路或集成电子系统。综上比较,本设计采用EDA技术实现对电梯的控制。电梯控制器硬件系统示意图如图1-1所示。LED显示主控制器分控制器数码管显示信号输入图1-1 电梯控制器硬件系统示意图第二章 设计基础现代电子设计技术的核心是EDA技术。EDA技术的重要组成部分是硬件描述语言,VHDL是电子设计主流硬件的描述语言之一。使用VHDL语言进行程序设计,在QuartusII软件上对程序进行编译、仿真。在Quart

21、usII软件平台上开发具有易学易懂、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。2.1 EDA技术介绍EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机

22、完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为

23、工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。

24、目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。EDA技术在进入21世纪后,得得到了更大的发展,突出表现在以下几个方面:(1)使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能。(2)在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出。(3)电子技术全方位进入EDA领域,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大变化:软件无线电技术的崛起,模拟电路系统硬件描述语言的表达和设计的标准化,系统可编程模拟器件的出现,数字信号处理和图像处理的全硬件实现方案

25、的普遍接受,软硬件技术的进一步融合等。(4)EDA使得电子技术领域各学科的界限更加模糊,更加互为包容,如模拟与数字、软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。(5)更大规模的FPGA和CPLD器件的不断推出。(6)基于EDA工具、用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块。(7)软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认和广泛应用。(8)SoC高效低成本设计技术的成熟。(9)系统级、行为验证级硬件描述语言(如system C)的出现,使复杂电子系统的设计和验证趋于简单。2.2 VHDL语言介绍VHDL的英文全称是VHSIC(Ver

26、y High Speed Integrated Circuit )Hardware Description Language,于1983年由美国国防部(DOD)发起创建,由电工和电子工程师协会IEEE(The Institute of Electrical and Electronics Engineers)进一步发展并在1987年作为“IEEE标准1076”(IEEE Std 1076)发布。从此,VHDL成为硬件描述语言的业界标准之一。自IEEE公布了VHDL的标准版本之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具支持VHDL。此后VHDL在电子设计领域得到了广泛

27、的应用,并逐步取代了原有的非标准硬件描述语言。VHDL不仅可以作为系统模拟的建模工具,而且可以作为电路系统的设计工具,可以利用软件工具将VHDL源码自动的转化为文本方式表达的基本逻辑原元件连接图。VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面表现了强大的生命力和应用潜力。VHDL具有良好的适应性,用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需

28、要对不影响功能的与工艺有关的因素花费过多的时间和精力。2.2.1 VHDL结构与要素 一个完整的VHDL程序是由以下五部分组成的:库(LIBRARY)、程序包(PACKAGE)、实体(ENTITY)、结构体(ARCHITECTUR)、子程序(SUBPROGRAM)、电路的具体描述配置(CONFIGURATION)。(1)实体VHDL实体作为一个设计实体(独立的电路功能结构)的组成部分,其功能是对这个设计实体与外部电路进行接口描述。实体是设计实体的表层设计单元,实体说明部分规定了设计单元的输入输出接口信号和引脚,它是设计实体对外的一个通信界面。实体说明单元的一般语句结构如下:ENTITY实体名I

29、SGENERIC(参数名:数据类型);PORT(端口表); END ENTITY 实体名;由于PORT说明语句是对一个设计实体界面的说明及对设计实体与外部电路的接口通道的说明,其中包括对每一个借口的输入输出模式和数据类型的定义。其格式如下:PORT(端口名:端口模式 数据类型; 端口名:端口模式 数据类型 );(2)结构体结构体是实体所定义的设计实体中的一个组成部分。结构体描述设计实体的内部结构和外部设计实体端口间的逻辑关系。结构体的语句格式如下:ARCHITECTURE结构体名OF实体名IS说明语句BEGIN功能描述语句END 结构体名;(3)子程序VHDL子程序(SUBPROGRAM)是一

30、个VHDL程序模块。这个模块利用顺序语句来定义和完成算法。VHDL子程序与其他软件语言中的子程序的应用目的是相似的,即能更有效地完成重复性的工作。子程序可以在VHDL程序的三个不同位置进行定义,即在程序包、结构体和进程中定义。(4)VHDL库在利用VHDL进行工程设计中,为了提高设计效率以及使设计遵循某些统一的语言标准或数据格式,有必要将一些有用的信息汇集在一个或几个库中以供调用。这些信息可以是预先定义好的数据类型、子程序等设计单元的集合体(程序包),或预先设计好的各种设计实体(元件库程序包)。因此,可以把库看成是一种用来存储预先完成的程序包、数据集合体和元件的仓库。VHDL语言的库分为两类:

31、一类是设计库,如在具体设计项目中用户设定的文件目录对应的WORK库;另一类是资源库,资源库是常规元件和标准模块存放的库。VHDL程序设计中常用的库有IEEE库、STD库、WORK库及VITAL库。(5)VHDL程序包为了使已定义的常数、数据类型、元件调用说明以及子程序等能被更多其他的设计实体方便的访问和共享,可以将它们收集在一个VHDL程序包中。多个程序包可以并入一个VHDL库中,使之适用于更一般的访问和调用范围。(6)配置配置可以把特定的结构体关联到(指定给)一个确定的实体。正如“配置”一词本身的含义一样,配置语句就是用来为较大的系统设计提供管理和工程组织的。2.2.2 VHDL的特点应用V

32、HDL进行系统设计,有以下几方面的特点:(1)VHDL 语言功能强大 , 设计方式多样VHDL 语言具有强大的语言结构, 只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样 , 既支持自顶向下的设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化设计方法。(2)VHDL语言具有强大的硬件描述能力VHDL语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以描述门级电路

33、;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。(3)VHDL语言具有很强的移植能力VHDL语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。(4)可操作性:由于VHDL具

34、有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。(5)灵活性:VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。使其在任何大系统的设计中,随时可对设计进行仿真模拟。所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。(6) VHDL 语言的设计描述与器件无关采用 VHDL 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化, 而不需要考虑其他的问题。当

35、硬件电路的设计描述完成以后 ,VHDL 语言允许采用多种不同的器件结构来实现。(7) VHDL 语言程序易于共享和复用VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块, 将这些模块存放在库中 , 就可以在以后的设计中进行复用。由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言 , 因此它可以使设计成果在设计人员之间方便地进行交流和共享, 从而减小硬件电路设计的工作量,

36、 缩短开发周期。2.2.3 VHDL的设计步骤采用VHDL的系统设计,一般有以下6个步骤。1)要求的功能模块划分;2)VHDL的设计描述(设计输入);3)代码仿真模拟(前仿真);4)计综合、优化和布局布线;5)布局布线后的仿真模拟(后仿真);6)设计的实现(下载到目标器件)。2.3 QuartusII软件介绍QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界上最大的可编程逻辑器件供应商之一。Quartus II 开发工具人机界面友好、易于使用、性能优良,并自带编译、仿真功能。QuartusII提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片

37、可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。软件支持VHDL和Verilog硬件描述语言的设计输入、基于图形的设计输入方式以及集成系统设计工具。QuartusII软件可以将设计、综合、布局和布线以及系统的验证全部都整合到一个无缝的环境之中,其中也包括和第三方EDA工具的接口。QuartusII允许来自第三方的EDIF文件输入,并提供了很多EDA软件的接口,QuartusII支持层次化设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的模块(元件)进行调用,从而解决了原理图与HDL混合输入设计的问

38、题。在设计输入之后,QuartusII的编译器将给出设计输入的错误报告。QuartusII拥有性能良好的设计错误定位器,用于确定文本或图形设计中的错误。 第三章 工作原理、程序设计及调试3.1 工作原理3.1.1 外部数据高速采集模块设计控制器采用FPGA作为系统控制的核心,系统时钟频率是32.0000MHz,完全可以满足实时采集数据的要求。由于电路中毛刺现象的存在,信号的纯净度降低,单个毛刺往往被误作为系统状态转换的触发信号,严重影响电梯的正常工作。可以采用多次检测的方法解决这个问题,对一个信号进行多次采样以保证信号的可信度。外部请求信号的输入形式为按键输入,到达楼层信号来自光敏传感器,关门

39、中断信号及超载信号则产生于压力传感器。键盘、光敏外部输入接口电路未设计。3.1.2 信号存储模块电梯控制器的请求输入信号有18个(电梯外有6个上升请求和6个下降请求的用户输入端口,电梯内有6个请求用户输入端口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器中。电梯运行过程中,由于用户的请求信号的输入是离散的,而且系统对请求的响应也是离散的,因此请求信号的存储要求新的请求信号不能覆盖原来的请求信号,只有响应动作完成后才能清除存储器内对应的请求信号位。3.1.3 基于FPGA的中央处理模块中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼

40、层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9个状态:等待、上升、下降、开门、关门、停止、休眠、超载报警及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。3.1.4 信号的输出、显示模块本系统的输出信号有两种:一种是电机的升降控制信号(两位)和开门/关门控制信号;另一种是面向用户的提示信号(含楼层显示、方向显示、已接受请求显示等)。电机的控制信号一般需要两位,本系统中电机有3种状态:正转、反转和停转状态。两位控制信号作为一个3路开关的选通信号,此3路开关选用模拟电子开关。系统的显示输出包括数码管楼层显示、数码管请求信号显示和表征

41、运动方向的箭头形指示灯的开关信号。本系统具有请求信号显示功能,结合方向现实,可以减少用户对同一请求的输入次数,这样就延长了电梯按键的使用寿命。假如电梯处于向上运动状态,初始位置是底层,初试请求是6楼,2楼时进入一人,如果他的目的地也是6楼,他看到初试请求是6楼,就可以不再按键。同时,电梯外部的人也可以根据请求信号显示(上升请求、下降请求、无请求),就可以避免没必要地重复请求信号输入。电梯使用时,系统结合相应的电梯使用规范完全可以满足人们的需要,而且效率比较高。3.2 程序设计3.2.1 电梯运行规则(1)请求信号分析:电梯的请求信号分为梯内请求和梯外请求,如果从这个角度就很难去进行对电梯运行可

42、能情况的分析,因为电梯的运行是根据梯内和梯外的请求信号、行程信号进行控制的,而梯内和梯外的请求是随机且不能以有限的规则去对其进行说明的。因此,很难对电梯的运行情况作出一个统一的分析。出于这方面的考虑,本设计把电梯的请求信号划分为上升请求和下降请求。电梯接收到请求信号后,都必须作预操作。使电梯进入预上升状态的请求信号就是上升请求信号。具体来说,就是当电梯所在楼层低于发出请求的楼层所要到达的目的楼层时,电梯必须在下一操作中作出上升运行,这时的请求信号就是上升请求信号。反之,则是下降请求信号。(2)电梯处于各楼层时的运行情况:处于一楼时,电梯只可能接收到上升请求信号。此时,电梯就进入预上升状态,准备

43、作上升运行。如果电梯没有接收到请求信号,电梯则在一楼待机。处于二楼到五楼时,电梯可能出现三种情况:电梯没有接收到请求信号,电梯返回一楼待机;电梯收到上升请求信号,进入预上升状态;电梯受到下降请求信号,进入预下降状态。处于六楼时,电梯只可能接收到下降的请求信号。此时,电梯就进入预下降状态,准备作下降运行。如果电梯没有接收到请求信号,电梯则返回一楼待机。对上述电梯的运行情况进行汇总,可以得到如图3-1的电梯运行状态图。预下降一楼预上升待机二楼五楼预下降预上升六楼上升信号无信号.下降信号上升信号无信号.下降信号无信号图3-1 电梯运行状态图在电梯运行过程中,存在一个如何处理信号优先的问题。电梯实际上

44、是一个人机交互式的控制系统,单纯用顺序优先或逻辑优先是不能满足控制要求的,因此,控制系统采用随机逻辑方式控制去解决信号优先的问题。即在以顺序逻辑控制实现电梯的基本控制要求的基础,根据随机的输入信号,以及电梯的相应状态时的控制电梯的运行。本设计采用以下规则:电梯以前一状态为基准,即前一状态为上升,则操作完所有上升请求再操作下降请求;前一状态为下降,则反之。(3)对电梯开门、关门、报警等内部信号处理分析。其流程图如图3-2所示。接到楼层请求信号电梯到达该楼层故障处理待机开门使能信号关门延时信号倒数提前关门故障报警关门使能信号接受并处理请求信号电梯进入运行状态故障检测超重检测提前关门请求NNYY图3

45、-2 电梯内外信号处理当电梯接收到请求信号后,将以随机逻辑控制的方式到达发出请求的楼层。开门使能信号促使电梯开门载客,同时驱动关门延时信号、超重检测以及故障检测。在电梯进行完关门倒数计时、超重排除以及故障排除后,关门使能信号将促使电梯关门进入预操作状态。如果此前出现提前关门信号而且电梯也已经进行完超重排除和故障排除,电梯同样关门进入预操作状态。电梯在超重检测时发现超重,关门中断信号会促使电梯发出超重报警并且进行开门操作以减少乘客,重新进入载客操作;电梯在故障检测时,发现电梯某部分出现故障,关门中断信号会促使电梯发出故障报警并且进入开门操作的同时停止关门延时,作故障处理待机。电梯控制器的原理图如

46、图3-3所示。状态显示模块主控制模块译码器楼层显示模块楼层选择模块分控制模块图3-3 电梯控制器原理图3.2.2 程序流程分析电梯的运行规则确立后,需对整个控制程序的设计作一个流程规范。对程序进行模块化构思。根据VHDL语言的规则,程序必须由最基本的实体和结构体构成。实体对控制器的端口进行定义,结构体对各端口的行为进行描述。因此程序运行需经过以下流程:VHDL库调用;确立控制器的端口及相关的寄存器;根据电梯运行规则,设计相关运行描述;对电梯内外信号进行处理。具体流程图如图3-4所示。程序开始VHDL库调用设置控制器端口设置相关寄存器电梯运行规则描述电梯信号处理程序结束图3-4 总程序流程图3.

47、2.3 端口、寄存器说明(1)由功能要求本程序设计的端口必须包括:输入端口:时钟(clk,频率为2Hz)、超载(full)、关门中断(deng)、提前关门(quick)、清除报警(clr)、电梯外人的上升请求信号(c_u1,c_u2,c_u3,c_u4,c_u5)、电梯外人的下降请求信号(c_d2,c_d3,c_d4,c_d5,c_d6)、电梯内人的请求信号(d1,d2,d3,d4,d5,d6)、到达楼层信号(g1,g2,g3,g4,g5,g6)。输出端口:电梯门控制信号(door)、电梯所在楼层显示(led)、电梯外人上升请求信号显示(led_c_u)、电梯外人下降请求信号显示(led_c_d)、电梯内请求信号显示(led_d)、看门狗报警信号(wahaha)、电梯运动方向显示(ud)、超载警告信号(alarm)、电机控制信号(up,down)。其分布如图3-5所示。图3-5控

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号