电冰箱温度控制系统设计.doc

上传人:laozhun 文档编号:2941367 上传时间:2023-03-05 格式:DOC 页数:27 大小:530.50KB
返回 下载 相关 举报
电冰箱温度控制系统设计.doc_第1页
第1页 / 共27页
电冰箱温度控制系统设计.doc_第2页
第2页 / 共27页
电冰箱温度控制系统设计.doc_第3页
第3页 / 共27页
电冰箱温度控制系统设计.doc_第4页
第4页 / 共27页
电冰箱温度控制系统设计.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《电冰箱温度控制系统设计.doc》由会员分享,可在线阅读,更多相关《电冰箱温度控制系统设计.doc(27页珍藏版)》请在三一办公上搜索。

1、目 录目 录引 言21 总的设计方案31.1 总体方案32 系统硬件设计62.1 系统结构图62.2 微处理器72.3 温度传感器92.4 LED简介112.5 功能按键112.6 压缩机,风机,电磁阀控制122.7 过欠压保护133 EDA设计143.1 VHDL顶层设计143.2计时模块153.3驱动模块163.4 显示模块184 技术总结245 结束语256参考文献267致谢27引 言现代电子设计、工程建设及日常生活中常常需要用到温度控制,早期温度控制主要应用于工厂中,例如钢铁的水溶温度,不同等级的钢铁要通过不同温度的铁水来实现,这样就可能有效的利用温度控制来掌握所需要的产品了。在现代社

2、会中,温度控制不仅应用在工厂生产方面,其作用也体现到了各个方面,电冰箱的出现及大量普及就是一个很好的例子。随着社会发展,人们对食品温度的控制要求也越来越高,对于电冰箱的温度控制也就相应的不断提高。20世纪90年代出现的 EDA技术是电子设计的重要工具,其核心是 利用计算机完成电路设计的全程自动化,EDA技术应用于芯片设计和系统设计,极大的提高了电路设计的效率和可靠性,节省了设计成本,减轻了设计人员的劳动强度。它结合传感技术及计算机等高新技术,并综合应用了机械技术发展的新成果,不管是在民用工业,还是在国民经济建设中都有着极其广泛的应用前景,广泛应用于工业自动化,智能仪器仪表的设计制造中,消费电子

3、产品领域,通信方面及武器装备等,含盖了生产、生活、军事各个领域,实现了电子产品的准确化、智能化、最优化和多功能化,发挥着越来越重要的作用,引起了各个国家的高度重视。依靠EDA的控制技术作为现代高科技的重要组成部分,推动着自动化生产、计算机、材料加工、医疗、纺织等相关领域的发展。是衡量一个国家科学技术水平的重要标志。正由于用EDA控制器改造落后的设备具有性价比高、提高设备的使用寿命、提高设备的自动化程度的特点,所以电冰箱的 电控系统也采用了EDA为其各功能控制实现的核心。而我设计的电冰箱的电控系统就是采用了EDA为控制核心,通过电路设计,扩展外围电路,实现电冰箱的温度控制,温度的显示功能,具有很

4、强的实用性,现实性。通过本设计的研究,我不仅了解电冰箱的相关知识,还掌握了利用IP核设计电子系统,引用方便,修改基本元件的功能容易,以及一些外围芯片的使用及模拟电路的设计。1.总的设计方案1.1总体方案简介电冰箱的系统组成液体由液态变为气态时,会吸收很多热量,简称为“液体汽化吸热”,电冰箱就是利用了液体汽化的过程中需要吸热的原理来制冷的。 蒸气压缩式电冰箱制冷系统原理图如图1.1所示,主要由压缩机、冷凝器、干燥过滤器、毛细管、蒸发器等部件组成,其动力均来自压缩机,干燥过滤器用来过滤赃物和干燥水分,毛细管用来节流降压,热交换器为冷凝器和蒸发器。制冷压缩机吸入来自蒸发器的低温低压的气体制冷剂,经压

5、缩后成为高温高压的过热蒸气,排入冷凝器中,向周围的空气散热成为高压过冷液体,高压过冷液体经干燥过滤器流入毛细管节流降压,成为低温低压液体状态,进入蒸发器中汽化,吸收周围被冷却物品的热量,使温度降低到所需值,汽化后的气体制冷剂又被压缩机吸入,至此,完成一个循环。压缩机冷循环周而复始的运行,保证了制冷过程的连续性。 图1.1 电冰箱制冷系统原理图直冷式电冰箱的控制原理是根据蒸发器的温度控制制冷压缩机的启、停,使冰箱内的温度保持在设定温度范围内。冷冻室用于冷冻食品通常用于冷冻的温度为3C15C,冷藏室用于相对于冷冻室较高的温度下存放食品,要求有一定的保鲜作用,不能冻伤食品,温度一般为0C10C,当测

6、得冷冷冻室温度高至3C 0C时或者是冷冻室温度高至10C13C是启动压缩机制冷,当冷冻室温度低于15C18C或都冷藏室温度低于0C3C时停止制冷,关断压缩机。采用单片机控制,可以使控制更为准确、灵活。工作原理:根据冷藏室和冷冻室的温度情况决定是否开压缩机,若冷藏室的温度过高,则打开电磁冷门V1,关闭阀门V2,V3,同时打开压缩机,产生高温高压过热蒸气,经过冷凝器冷凝,干燥过滤器干燥,毛细节流管降压后,在蒸发器汽化制冷,产生低温低压的干燥气体。经过电磁阀门V1 流入冷藏室,使冷藏的温度迅速降低,当温度达到要求时关闭压缩机,同时关闭电磁阀门V1 。若是冷冻室的温度过高,则应打开V2关闭V1, V3

7、 。电磁阀门V3主要用于冷冻室的化霜。需要化箱时打开V3,从压缩机流出的高温高压气体流经冷冻室可匀速将冷冻室霜层汽化。达到化霜的效果。一般化霜的时间要短,不然会伤存放的食品。本系统采用IP核控制的电冰箱主要功能及要求:1)设定2个测温点,测量范围:18C10C,精度0.5C;2)利用功能键分别控制温度设定、冷藏室及冷冻室温度设定等;3)制冷压缩机停机后自动延时3分钟后方能再启动;4) 工作电压176V240V,当过压或欠压时,禁止启动压缩机此设计的总体框图如图1.2所示:图1.2设计总体框图外围电路是EP2C5Q208C8芯片工作的基础保障电源电路提供稳定的+5V工作电压;时钟电路用于产生EP

8、2C5Q208C8工作所需的时钟信号;复位电路使EP2C5Q208C8实现初始化状态复位。键盘电路用于向系统输入运行参数,控制系统的运行状态。通过键盘扫描等程序设计把键盘输入的数据在液晶显示器上显示。LED电路用来显示键盘输入的数据,LM35实现对冷冻室和冷藏室的温度检测,AD522完成对温度的模数转换,将信号上传给EP2C5Q208C8芯片,其功能是靠硬件电路的设计和软件程序的结合来实现的。2.系统硬件设计2.1系统结构图控制系统结构如2.1图所示,主要由电源开关,温度传感器,功能按键,EP2C5Q208C8芯片,延时电路,显示电路,指示灯电路,故障报警装置等够成。图2.1 系统控制结构图2

9、.2微处理器微处理器是本系统的核心,其性能的好坏直接影响系统的稳定,鉴于本系统为实时控制系统,系统运行时需要进行大量的运算,所以本文设计了一个基于VHDL的VGA显示控制木块,通过FPGA控制图像与时序信号,软件的开发环境是ALTERA公司的Quartus6.0。设计运用VHDL语言编程,配置加载FPGA芯片,经FPGA处理,信号通过D/A转换器,由VGA接口输出,设计的 主控制器采用ALTERA公司的EP2C5Q208C8芯片。图2.2 EP2C5Q208C8芯片本系统就是选用了ALTERA公司的ACEX1K系列的EP2C5Q208C8芯片,它具有144个引脚,其中102个I/O通信口.在本

10、次的基于VHDL的VGA显示控制模块设计中,电阻分压网络共用12个I/O通信口,ADV7123 D/A转换器共用30个I/O通信口,存储器模块共用26个I/O通信口。引脚的配置及功能分配情况如2-2表所示: 表2.2引脚配置功能图2.3温度传感器在自动控制、机电整合的应用中,温度的测量为常见的需求,感测温度的產品有多种型态,依特性可概分为膨胀变化型、颜色变化型、电阻变化型、电流变化型、电压变化型、频率变化型等,常见的电压变化型的温度传感器有LM35、LM335,其不同点为 LM35 之输出电压是与摄氏温标呈线性关係,而 LM335 则是与凯氏温标呈线性关系。由於摄氏温标较常使用,因此本文将针对

11、LM35做介绍。 1. 温度传感器 LM35 LM35是由National Semiconductor所生产的温度感测器,其输出电压与摄氏温标呈线性关係,转换公式如式(1),0C时输出为0V,每升高 1C,输出电压增加10mV。 (1)LM35 有多种不同封装型式,外观如图 1 所示。在常温下,LM35 不需要额外的校准处理即可达到 1/4C的准确率。其电源供应模式有单电源与正负双电源两种,其引脚如图 2 所示,正负双电源的供电模式可提供负温度的量测;两种接法的静默电流-温度关係如图 3所示,单电源模式在25C下静默电流约50A,非常省电。 图2.3.1:LM35封装及列 图2.32:LM35

12、接线图图2.3.32. 实际测试 接下来实际对LM35进行测试,测试使用单电源模式,並且将输出以非反相放大器放大十倍,如图4的电路。以10Hz 的频率记录放大后的电压值,得到如图5的温度时间图。图2.3.42.4 LED简介显示器是常用的输出器件。显示器件种类很多,有LED发光二极管、LED数码管、液晶显示器LCD、阴极射线管CRT等。本电冰箱的电控系统使用的是LED数码管。如2.4图:图2.4 LED数码管原理图 2.5功能按键 因本系统使用的按键数目少,故按键采用硬件去抖。按键电路如图2.5 所示。用两个与非门构成一个RS触发器。当按键未按下时输出为1;刚键按下时输出为0。此时即使用按键的

13、机器性能,使按键因弹性抖动而产生瞬时断开(抖动跳开B),只要按键不返回原来状态A,双稳态电路的状态不会改变,输出保持为0,不会产生抖动的波形。也就是说,即使B点的电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。 图2.5按键电路2.6压缩机,风机、电磁阀控制压缩机,风机工作原理是制冷系统内制冷剂的低压蒸汽被压缩机吸入并压缩为高压蒸汽后排至冷凝器。同时轴流风扇吸入的室外空气流经冷凝器,带走制冷剂放出的热量,使高压制冷剂蒸汽凝结为高压液体。高压液体经过过滤器、节流机构后喷入蒸发器,并在相应的低压下蒸发,吸取周围的热量。同时贯流风扇使空气不断进入蒸发器的肋片间进行热交换,并将放热后变冷的

14、空气送向室内。如此室内空气不断循环流动,达到降低温度的目的。 而冰箱没有风扇靠自然对流来进行热量交换。电磁阀的工作原理非常简单,阻流板就象一个闸门,一个弹簧让它处于关闭状态,上面一个电磁铁芯,铁芯(低部橡胶)压在阻流板中间(凸起)的一个小眼儿上,外面一个电磁线圈,接通电源后铁芯别吸上去,小眼儿开始进气,压力达到顶开弹簧后电磁阀打开。结构图如图2.6所示:图2.6 压缩机 风机原理图2.7过欠压保护电路为了使电冰箱安全可靠地运行,要求其电源电压在176V240V之间。因此,当电源电压小于176V或大于240V时,压缩机应自动停机并报警显示。采用过压欠压保护以提高电源的可靠性和安全性。温度是影响电

15、源设备可靠性的最重要因素,根据有关资料分析表明电子元器件温度升高,可靠性即会下降。为了避免功率器件过热造成损坏,需要在电源设置电源的过欠压保护电路。电源的过欠压电路如2.7图所示:图2.7过欠压电路图3. EDA设计3.1 VHDL顶层设计 顶层文件就是用元件例化格式将显示模块、计时模块、分频模块、组合在一起。其原代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY center IS -顶层文件PORT( Lc_clk:IN STD_LOGIC; -冷藏温度传感器产生的CLK信

16、号 Ld_clk:IN STD_LOGIC; -冷冻温度传感器产生的CLK信号 enable:IN STD_LOGIC; sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); -计时选择及显示选择信号 -choose:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -LED显示位选信号 segment:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);-LED显示段选信号END center;ARCHITECTURE rt1 OF center IScomponent time_counterPORT( enable:IN STD_LO

17、GIC; Lc_clk:IN STD_LOGIC; -冷藏温度传感器产生的CLK信号 Ld_clk:IN STD_LOGIC; -冷冻温度传感器产生的CLK信号 LC10:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); LC:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); LD10:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); LD:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);end component;Component displayPORT( clk:IN STD_LOGIC; -外部555震荡产生100

18、HZ频率 LC10:IN STD_LOGIC_VECTOR(2 DOWNTO 0);-冷藏室十位输入信号 LC:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -冷藏室个位输入信号 LD10:IN STD_LOGIC_VECTOR(3 DOWNTO 0);-冷冻室十位输入信号 LD:IN STD_LOGIC_VECTOR(3 DOWNTO 0);-冷冻室个位输入信号 sel:out STD_LOGIC_VECTOR(2 DOWNTO 0); -choose:out STD_LOGIC_VECTOR(7 DOWNTO 0); segment:OUT STD_LOGIC_VECT

19、OR(6 DOWNTO 0); end component; component POWER -驱动文件PORT(LC10:in std_logic_VECTOR(3 DOWNTO 0) LC:in std_logic_VECTOR(3 DOWNTO 0) LD10:in std_logic_VECTOR(3 DOWNTO 0)LD:in std_logic_VECTOR(3 DOWNTO 0)b: out std_logic; -驱动信号);End component; signal LC10: STD_LOGIC_VECTOR(3 DOWNTO 0); signal LC: STD_LOG

20、IC_VECTOR(3 DOWNTO 0); signal LD10: STD_LOGIC_VECTOR(3 DOWNTO 0); signal LD: STD_LOGIC_VECTOR(3 DOWNTO 0);Beginu1:time_counter PORT MAP(enable,Lc_clk,Ld_clk,LC10,LC,LD10,LD);u2:display PORT MAP(clk, LC10,LC,LD10,LD,sel, choose, segment);end rt1; 3.2 计时模块 该模块就是用元件例化格式将10进制计数器组合在一起,构成温度显示的各个位的数据。其原代码如

21、下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT( enable:IN STD_LOGIC; clk:IN STD_LOGIC; -温度增值信号 up:in STD_LOGIC; cout:out STD_LOGIC; -进位使能信号 q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END count10;ARCHITECTURE rt1 OF count10 ISSIGNAL q_tmp:STD_LOGIC_VECTOR(3 D

22、OWNTO 0);BEGINprocess(clk)beginIF(clkevent and clk=1)then -CLK发生上跳沿 if(enable=1and up=1)then -使能信号为1且比较器比较结果为正 if(q_tmp=1001)then -为9进为0 q_tmp0); else q_tmp=q_tmp+1; 否则 进1 if(enable=1and up=0)then -使能信号为1且比较器比较结果为负 if(q_tmp=0000)then q_tmp=1001; -为0下位为9 else q_tmp=q_tmp-1; -否则 减1 end if; end if; end

23、 if;end if;q=q_tmp;end process;cout=1when q_tmp=1001and enable=1 else0; -进位-cout=1when q_tmp=1001 else0;end rt1;-*LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY time_counter ISPORT( enable:IN STD_LOGIC; -使能信号及下级进位信号Ld_clk:in std_logic; Lc_clk:in std_logic;Up:in std_logic; -比较器比较结果信号 LC10:UT STD_LOG

24、IC_VECTOR(2 DOWNTO 0); LC:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); LD10:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); LD:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END time_counter;ARCHITECTURE rt1 OF time_counter ISCOMPONENT count10PORT( enable:IN STD_LOGIC; clk:IN STD_LOGIC; Up:1n std_logic; cout:out STD_LOGIC; q:OUT STD_LOGI

25、C_VECTOR(3 DOWNTO 0);end COMPONENT;SIGNAL co1,co2,co3,co4:STD_LOGIC;BEGINU0:count10 PORT MAP(enable,ld_clk,up,co1,LD);U1:count10 PORT MAP(co1,ld_clk,up,co2,LD10);U2:count10 PORT MAP(enable2,lc_clk,up,co3,LC);U3:count10 PORT MAP(co3,lc_clk,up,co4,LC10);end rt1;3.3驱动模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1

26、164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY POWER IS -驱动文件PORT(LC10:in std_logic_VECTOR(3 DOWNTO 0); LC:in std_logic_VECTOR(3 DOWNTO 0); LD10:in std_logic_VECTOR(3 DOWNTO 0);LD:in std_logic_VECTOR(3 DOWNTO 0);powerout: out std_logic; -驱动信号);ARCHITECTURE rt1 OF POWER ISBEGINprocess(LC10,LC,LD10,LD

27、)beginIF((LC10=0001 and LC=0000) or( LD10=0000and LD=0011))then Powerout=1; -冷藏温度遇到10及冷冻温度为-3 驱动信号为 1Else If((LC10=0000 and LC=0000) or (LD10=0001and LD=0101))thenPowerout=0; -冷藏温度遇到0及冷冻温度为15 驱动信号为 1 End if;End if; End process; end rt1;3.4显示模块显示模块的输入信号主要来自于计时模块部分的输出信息。在输出信号中,我们采用循环点亮两个LED七段显示数码管显示输出

28、。我们通过信号来进行8个LED七段显示数码的选择,从而将输出信号送到相应的LED七段显示数码上完成秒表各位的结果显示。模块框图如3.4图所示:图3.4显示模块框图从图31中可以看出,显示模块有四个部分构成:八进制计数器、计时位选择电路、七段显示译码电路、显示位选择译码电路。在外部时钟信号clk的作用下,8进制计数器的输出从000到111按顺序循环变化,输出信号为sel。信号sel经过位选择电路译码后产生用来选通一个LED七段显示数码管的choose信号,同时它还作为计时位选择电路的选择信号,用来选择对应位的数据并将其转换为四位位矢量。最后将计时位选择电路的输出信号q送到七段显示译码电路的输入端

29、口,将其转换成用来点燃LED七段显示数码管的segment信号。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count8 ISPORT( clk:IN STD_LOGIC; -外部555震荡产生100HZ频率 sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); -断码及位选择信号END count8;ARCHITECTURE rt1 OF count8 ISSIGNAL sel_tmp:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINp

30、rocess(clk)beginIF(clkevent and clk=1)then if(sel_tmp=111)then sel_tmp0); else sel_tmp=sel_tmp+1; end if;end if;selqqqqqchoosechoosechoosechoosechoosechoosechoosechoosechoosesegmentsegmentsegmentsegmentsegmentsegmentsegmentsegmentsegmentsegmentsegment=XXXXXXX;END CASE;END PROCESS;END rt1;-*LIBRARY I

31、EEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY display ISPORT( clk:IN STD_LOGIC; LC10:IN STD_LOGIC_VECTOR(2 DOWNTO 0); LC:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LD10:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LD:IN STD_LOGIC_VECTOR(3 DOWNTO 0); sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); -choose:out STD_LOGIC_VECTOR(7 DOWNTO 0);

32、 segment:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END display;ARCHITECTURE rt1 OF display ISCOMPONENT count8PORT(clk:IN STD_LOGIC; sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END COMPONENT;-COMPONENT choose_decoder-PORT(sel:IN STD_LOGIC_VECTOR(2 DOWNTO 0);- choose:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-END COMPONENT;CO

33、MPONENT time_choosePORT(sel:IN STD_LOGIC_VECTOR(2 DOWNTO 0); LC10:IN STD_LOGIC_VECTOR(2 DOWNTO 0); LC:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LD10:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LD:IN STD_LOGIC_VECTOR(3 DOWNTO 0); q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END COMPONENT;COMPONENT seg7PORT(q:IN STD_LOGIC_VECTOR(3

34、 DOWNTO 0); segment:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END COMPONENT;SIGNAL sel_tmp:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL q:STD_LOGIC_VECTOR(3 DOWNTO 0);-SIGNAL choose_tmp:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL segment_tmp:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINU0:count8 PORT MAP(clk,sel_tmp);-U1:choose_decoder

35、 PORT MAP(sel,choose_tmp);U2:time_choose PORT MAP(sel_tmp, LC10,LC,LD10,LD,q);U3:seg7 PORT MAP(q,segment_tmp);sel=sel_tmp;-choose=choose_tmp;segment=segment_tmp;END rt1;4 技术总结通过此项设计的分析可以得到此下结论:本设计设计到温度传感器以及A/D转换芯片的选择以及EDA的总体设计,最后输出。由于一般的传感器输出大部分是模拟信号,所以要用到A/D传感器,转换后的数字信号CLK直接送到EDA程序中如表4.1所示,通过程序中的几个模块处理送到LED显示出来。本设计电路性能为:外加电压约为220V,电流5A; 表4.1数字信号表AD522是高性能、低功耗的A/D转换器电路。它将高精度、通用性和真正的低成本很好的结合在一次,它有低于10V的自动校零功能,零漂小于1V/,低于10PA的输入电流,极性转换误差小于一个字。5结束语EDA课程设计到此基本完成了,本次设计历时并不很长,但是通过自己在图书

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号