半导体行业专业词汇.docx

上传人:牧羊曲112 文档编号:3090138 上传时间:2023-03-10 格式:DOCX 页数:21 大小:51.73KB
返回 下载 相关 举报
半导体行业专业词汇.docx_第1页
第1页 / 共21页
半导体行业专业词汇.docx_第2页
第2页 / 共21页
半导体行业专业词汇.docx_第3页
第3页 / 共21页
半导体行业专业词汇.docx_第4页
第4页 / 共21页
半导体行业专业词汇.docx_第5页
第5页 / 共21页
亲,该文档总共21页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《半导体行业专业词汇.docx》由会员分享,可在线阅读,更多相关《半导体行业专业词汇.docx(21页珍藏版)》请在三一办公上搜索。

1、半导体行业专业词汇半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA系统 4. Acid:酸 5. Active device:有源器件,如MOS FET 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous sili

2、con:-Si,非晶硅 13. Analog:模拟的 14. Angstrom:A埃 15. Anisotropic:各向异性 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准 17. ARC(Antireflective coating):抗反射层 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比

3、25. Autodoping:自搀杂 26. Back end:后段 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用舟 31. CD: 临界尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish:化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition:化学汽相淀积。一种通过化学反应生成一层薄膜的工

4、艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一

5、个硅衬底上混合制造的工艺。 41. Computer-aided design:计算机辅助设计。 42. Conductivity type:传导类型,由多数载流子决定。在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。 43. Contact:孔。在工艺中通常指孔1,即连接铝和硅的孔。 44. Control chart:控制图。一种用统计数据描述的可以代表工艺某种性质的曲线图表。 45. Correlation:相关性。 46. Cp:工艺能力,详见process capability。 47. Cpk:工艺能力指数,详见process capability index。 48.

6、 Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。通常用来衡量流通速度的快慢。 49. Damage:损伤。对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。 50. Defect density:缺陷密度。单位面积内的缺陷数。 51. Depletion implant:耗尽注入。一种在沟道中注入离子形成耗尽晶体管的注入工艺。 52. Depletion layer:耗尽层。可动载流子密度远低于施主和受主的固定电荷密度的区域。 53. Depletion width:耗尽宽度。53中提到的耗尽层这个区域的宽度。 54. Deposition:淀积。一种

7、在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。 55. Depth of focus:焦深。 56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。 57. develop:显影 58. developer:)显影设备; )显影液 59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源 60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。 61. dichlorosi

8、lane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。 62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。 63. dielectric:)介质,一种绝缘材料; )用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。 64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。 65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧

9、时能产生高火焰,暴露在空气中会自燃。在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。 66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。 67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。 68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。 69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。 70. epitaxial layer:外延层。半导体技术中,在决定晶向的

10、基质衬底上生长一层单晶半导体材料,这一单晶半导体层即为外延层。 71. equipment downtime:设备状态异常以及不能完成预定功能的时间。 72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。 73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。 74. fab:常指半导体生产的制造工厂。 75. feature size:特征尺寸,指单个图形的最小物理尺寸。 76. field-effect transistor:场效应管。包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。 77. film:薄膜,圆片上的一层

11、或多层迭加的物质。 78. flat:平边 79. flatband capacitanse:平带电容 80. flatband voltage:平带电压 81. flow coefficicent:流动系数 82. flow velocity:流速计 83. flow volume:流量计 84. flux:单位时间内流过给定面积的颗粒数 85. forbidden energy gap:禁带 86. four-point probe:四点探针台 87. functional area:功能区 88. gate oxide:栅氧 89. glass transition temperatur

12、e:玻璃态转换温度 90. gowning:净化服 91. gray area:灰区 92. grazing incidence interferometer:切线入射干涉仪 93. hard bake:后烘 94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法 95. high-current implanter:束电流大于3ma的注入方式,用于批量生产 96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒 97. host:主机 98. hot carriers:热载

13、流子 99. hydrophilic:亲水性 100. hydrophobic:疏水性 101. impurity:杂质 102. inductive coupled plasma(ICP):感应等离子体 103. inert gas:惰性气体 104. initial oxide:一氧 105. insulator:绝缘 106. isolated line:隔离线 107. implant : 注入 108. impurity n : 掺杂 109. junction : 结 110. junction spiking n :铝穿刺 111. kerf :划片槽 112. landing

14、pad n :PAD 113. lithography n 制版 114. maintainability, equipment : 设备产能 115. maintenance n :保养 116. majority carrier n :多数载流子 117. masks, device series of n : 一成套光刻版 118. material n :原料 119. matrix n 1 :矩阵 120. mean n : 平均值 121. measured leak rate n :测得漏率 122. median n :中间值 123. memory n : 记忆体 124.

15、metal n :金属 125. nanometer (nm) n :纳米 126. nanosecond (ns) n :纳秒 127. nitride etch n :氮化物刻蚀 128. nitrogen (N2 ) n: 氮气,一种双原子气体 129. n-type adj :n型 130. ohms per square n:欧姆每平方: 方块电阻 131. orientation n: 晶向,一组晶列所指的方向 132. overlap n : 交迭区 133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应 134. phosphorus (P) n :磷

16、,一种有毒的非金属元素 135. photomask n :光刻版,用于光刻的版 136. photomask, negative n:反刻 137. images:去掉图形区域的版 138. photomask, positive n:正刻 139. pilot n :先行批,用以验证该工艺是否符合规格的片子 140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体 141. plasma-enhanced chemical vapor deposition (PECVD) n:等离子体化学气相淀积,低温条件下的等离子淀积工艺 142. plasma-enhanced TEOS

17、 oxide deposition n:TEOS淀积,淀积TEOS的一种工艺 143. pn junction n:pn结 144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠 145. polarization n:偏振,描述电磁波下电场矢量方向的术语 146. polycide n:多晶硅 /金属硅化物, 解决高阻的复合栅结构 147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂的硅,能导电。 148. polymorphism n:多态现象,多晶形成一种化合物以至少两种不同的形态结晶的现象 149. prober n

18、 :探针。在集成电路的电流测试中使用的一种设备,用以连接圆片和检测设备。 150. process control n :过程控制。半导体制造过程中,对设备或产品规范的控制能力。 151. proximity X-ray n :近X射线:一种光刻技术,用X射线照射置于光刻胶上方的掩膜版,从而使对应的光刻胶暴光。 152. pure water n : 纯水。半导体生产中所用之水。 153. quantum device n :量子设备。一种电子设备结构,其特性源于电子的波动性。 154. quartz carrier n :石英舟。 155. random access memory (RAM

19、) n :随机存储器。 156. random logic device n :随机逻辑器件。 157. rapid thermal processing (RTP) n :快速热处理(RTP)。 158. reactive ion etch (RIE) n : 反应离子刻蚀(RIE)。 159. reactor n :反应腔。反应进行的密封隔离腔。 160. recipe n :菜单。生产过程中对圆片所做的每一步处理规范。 161. resist n :光刻胶。 162. scanning electron microscope (SEM) n :电子显微镜(SEM)。 163. sched

20、uled downtime n : (设备)预定停工时间。 164. Schottky barrier diodes n :肖特基二极管。 165. scribe line n :划片槽。 166. sacrificial etchback n :牺牲腐蚀。 167. semiconductor n :半导体。电导性介于导体和绝缘体之间的元素。 168. sheet resistance (Rs) (or per square) n :薄层电阻。一般用以衡量半导体表面杂质掺杂水平。 169. side load: 边缘载荷,被弯曲后产生的应力。 170. silicon on sapphire

21、(SOS)epitaxial wafer:外延是蓝宝石衬底硅的原片 171. small scale integration(SSI):小规模综合,在单一模块上由2到10个图案的布局。 172. source code:原代码,机器代码编译者使用的,输入到程序设计语言里或编码器的代码。 173. spectral line: 光谱线,光谱镊制机或分光计在焦平面上捕捉到的狭长状的图形。 174. spin webbing: 旋转带,在旋转过程中在下表面形成的细丝状的剩余物。 175. sputter etch: 溅射刻蚀,从离子轰击产生的表面除去薄膜。 176. stacking fault:堆

22、垛层错,原子普通堆积规律的背离产生的2次空间错误。 177. steam bath:蒸汽浴,一个大气压下,流动蒸汽或其他温度热源的暴光。 178. step response time:瞬态特性时间,大多数流量控制器实验中,普通变化时段到气流刚到达特定地带的那个时刻之间的时间。 179. stepper: 步进光刻机 180. stress test: 应力测试,包括特定的电压、温度、湿度条件。 181. surface profile:表面轮廓,指与原片表面垂直的平面的轮廓。 182. symptom:征兆,人员感觉到在一定条件下产生变化的弊病的主观认识。 183. tack weld:间断

23、焊,通常在角落上寻找预先有的地点进行的点焊。 184. Taylor tray:泰勒盘,褐拈土组成的高膨胀物质。 185. temperature cycling:温度周期变化,测量出的重复出现相类似的高低温循环。 186. testability:易测性,对于一个已给电路来说,哪些测试是适用它的。 187. thermal deposition:热沉积,在超过950度的高温下,硅片引入化学掺杂物的过程。 188. thin film:超薄薄膜,堆积在原片表面的用于传导或绝缘的一层特殊薄膜。 189. titanium(Ti): 钛。 190. toluene(C6H5CH3): 甲苯。有毒、

24、无色易燃的液体,它不溶于水但溶于酒精和大气。 191. 1,1,1-trichloroethane(TCA)(CL3CCH3): 有毒、不易燃、有刺激性气味的液态溶剂。这种混合物不溶于水但溶于酒精和大气。 192. tungsten(W): 钨。 193. tungsten hexafluoride(WF6): 氟化钨。无色无味的气体或者是淡黄色液体。在CVD中WF6用于淀积硅化物,也可用于钨传导的薄膜。 194. tinning: 金属性表面覆盖焊点的薄层。 195. total fixed charge density(Nth): 下列是硅表面不可动电荷密度的总和:氧化层固定电荷密度(Nf

25、)、氧化层俘获的电荷的密度(Not)、界面负获得电荷密度(Nit)。 196. watt(W): 瓦。能量单位。 197. wafer flat: 从晶片的一面直接切下去,用于表明自由载流子的导电类型和晶体表面的晶向,也可用于在处理和雕合过程中的排列晶片。 198. wafer process chamber(WPC): 对晶片进行工艺的腔体。 199. well: 阱。 200. wet chemical etch: 湿法化学腐蚀。 201. trench: 深腐蚀区域,用于从另一区域隔离出一个区域或者在硅晶片上形成存储电容器。 202. via: 通孔。使隔着电介质的上下两层金属实现电连接

26、。 203. window: 在隔离晶片中,允许上下两层实现电连接的绝缘的通道。 204. torr : 托。压力的单位。 205. vapor pressure: 当固体或液体处于平衡态时自己拥有的蒸汽所施加的压力。蒸汽压力是与物质和温度有关的函数。 206. vacuum: 真空。 207. transition metals: 过渡金属 Yield 良率 Parameter参数 PAC感光化合物 ASIC特殊应用集成电路 Solvent 溶剂 Carbide碳 Refractive折射 Expansion膨胀 Strip 湿式刻蚀法的一种 TM: top mental 顶层金属层 WEE

27、 周边曝光 PSG 硼硅玻璃 MFG 制造部 Runcard 运作卡 POD 装晶舟和晶片的盒子 Scratch 刮伤 Reticle 光罩 Sputter 溅射 Spin 旋转 Merge 合并 A/D 军 Analog.Digital, 模拟/数字 AC Magnitude 交流幅度 AC Phase 交流相位 Accuracy 精度 Activity Model Activity Model 活动模型 Additive Process 加成工艺 Adhesion 附着力 Aggressor 干扰源 Analog Source 模拟源 AOI,Automated Optical Inspe

28、ction 自动光学检查 Assembly Variant 不同的装配版本输出 Attributes 属性 AXI,Automated X-ray Inspection 自动X光检查 BIST,Built-in Self Test 内建的自测试 Bus Route 总线布线 Circuit 电路基准 circuit diagram 电路图 Clementine 专用共形开线设计 Cluster Placement 簇布局 CM 合约制造商 Common Impedance 共模阻抗 Concurrent 并行设计 Constant Source 恒压源 Cooper Pour 智能覆铜 Cro

29、sstalk 串扰 CVT,Component Verification and Tracking 元件确认与跟踪 DC Magnitude 直流幅度 Delay 延时 Delays 延时 Design for Testing 可测试性设计 Designator 标识 DFC,Design for Cost 面向成本的设计 DFM,Design for Manufacturing 面向制造过程的设计 DFR,Design for Reliability 面向可靠性的设计 DFT,Design for Test 面向测试的设计 DFX,Design for X 面向产品的整个生命周期或某个环节的

30、设计 DSM,Dynamic Setup Management 动态设定管理 Dynamic Route 动态布线 EDIF,The Electronic Design Interchange Format 电子设计交互格式 EIA,Electronic Industries Association 电子工业协会 Electro Dynamic Check 动态电性能分析 Electromagnetic Disturbance 电磁干扰 Electromagnetic Noise 电磁噪声 EMC,Elctromagnetic Compatibilt 电磁兼容 EMI,Electromagne

31、tic Interference 电磁干扰 Emulation 硬件仿真 Engineering Change Order 原理图与PCB版图的自动对应修改 Ensemble 多层平面电磁场仿真 ESD 静电释放 Fall Time 下降时间 False Clocking 假时钟 FEP 氟化乙丙烯 FFT,Fast Fourier Transform 快速傅里叶变换 Float License 网络浮动 Frequency Domain 频域 Gaussian Distribution 高斯分布 Global flducial 板基准 Ground Bounce 地弹反射 GUI,Graph

32、ical User Interface 图形用户界面 Harmonica 射频微波电路仿真 HFSS 三维高频结构电磁场仿真 IBIS,Input/Output Buffer Information Specification 模型 ICAM,Integrated Computer Aided Manufacturing 在ECCE项目里就是指制作PCB IEEE,The Institute of Electrical and Electronic Engineers 国际电气和电子工程师协会 IGES,Initial Graphics Exchange Specification 三维立体几

33、何模型和工程描述的标准 Image Fiducial 电路基准 Impedance 阻抗 In-Circuit-Test 在线测试 Initial Voltage 初始电压 Input Rise Time 输入跃升时间 IPC,The Institute for Packaging and Interconnect 封装与互连协会 IPO,Interactive Process Optimizaton 交互过程优化 ISO,The International Standards Organization 国际标准化组织 Jumper 跳线 Linear Design Suit 线性设计软件包

34、Local Fiducial 个别基准 manufacturing 制造业 MCMs,Multi-Chip Modules 多芯片组件 MDE,Maxwell Design Environment Nonlinear Design Suit 非线性设计软件包 ODB+ Open Data Base 公开数据库 OEM 原设备制造商 OLE Automation 目标连接与嵌入 On-line DRC 在线设计规则检查 Optimetrics 优化和参数扫描 Overshoot 过冲 Panel fiducial 板基准 PCB PC Board Layout Tools 电路板布局布线 PCB

35、,Printed Circuit Board 印制电路板 Period 周期 Periodic Pulse Source 周期脉冲源 Physical Design Reuse 物理设计可重复 PI,Power Integrity 电源完整性 Piece-Wise-linear Source 分段线性源 Preview 输出预览 Pulse Width 脉冲宽度 Pulsed Voltage 脉冲电压 Quiescent Line 静态线 Radial Array Placement 极坐标方式的元件布局 Reflection 反射 Reuse 实现设计重用 Rise Time 上升时间 Rn

36、ging 振荡,信号的振铃 Rounding 环绕振荡 Rules Driven 规则驱动设计 Sax Basic Engine 设计系统中嵌入 SDE,Serenade Design Environment SDT,Schematic Design Tools 电路原理设计工具 Setting 设置 Settling Time 建立时间 Shape Base 以外形为基础的无网格布线 Shove 元器件的推挤布局 SI,Signal Integrity 信号完整性 Simulation 软件仿真 Sketch 草图法布线 Skew 偏移 Slew Rate 斜率 SPC,Statictica

37、l Process Control 统计过程控制 SPI,Signal-Power Integrity 将信号完整性和电源完整性集成于一体的分析工具 SPICE,Simulation Program with Integrated Circuit Emphasis 集成电路模拟的仿真程序 Split/Mixed Layer 多电源/地线的自动分隔 SSO 同步交换 STEP,Standard for the Exchange of Product Model Data Symphony 系统仿真 Time domain 时域 Timestep Setting 步进时间设置 UHDL,VHSIC

38、 Hardware Description Language 硬件描述语言 Undershoot 下冲 Uniform Distribution 均匀分布 Variant 派生 VIA-Vendor Integration Alliance 程序框架联盟 Victim 被干扰对象 Virtual System Prototype 虚拟系统原型 VST,Verfication and Simulation Tools 验证和仿真工具 Wizard 智能建库工具,向导 2. 专业术语 术语 英文意义 中文解释 LCD Liquid Crystal Display 液晶显示 LCM Liquid C

39、rystal Module 液晶模块 TN Twisted Nematic 扭曲向列。液晶分子的扭曲取向偏转90度 STN Super Twisted Nematic 超级扭曲向列。约180270度扭曲向列 FSTN Formulated Super Twisted Nematic 格式化超级扭曲向列。一层光程补偿偏甲于STN,用于单色显示 TFT Thin Film Transistor 薄膜晶体管 Backlight - 背光 Inverter - 逆变器 OSD On Screen Display 在屏上显示 DVI Digital Visual Interface 数字接口 TMDS

40、Transition Minimized Differential Singnaling LVDS Low Voltage Differential Signaling 低压差分信号 Panelink - IC Integrate Circuit 集成电路 TCP Tape Carrier Package 柔性线路板 COB Chip On Board 通过绑定将IC裸偏固定于印刷线路板上 COF Chip On FPC 将IC固定于柔性线路板上 COG Chip On Glass 将芯偏固定于玻璃上 Duty - 占空比,高出点亮的阀值电压的部分在一个周期中所占的比率 LED Light E

41、mitting Diode 发光二极管 EL Elextro Luminescence 电致发光。EL层由高分子量薄片构成 CCFL(CCFT) Cold Cathode Fluorescent Light/Tude 冷阴极荧光灯 PDP Plasma Display Panel 等离子显示屏 CRT Cathode Radial Tude 阴极射线管 VGA Video Graphic Anay 视频图形陈列 PCB Printed Circuit Board 印刷电路板 Composite video - 复合视频 component video - 分量视频 S-video - S端子,

42、与复合视频信号比,将对比和颜色分离传输 NTSC National Television Systems Committee NTSC制式。全国电视系统委员会制式 Phase Alrernating Line PAL制式 SEquential Couleur Avec Memoire SECAM制式 Video On Demand 视频点播 DPI Dot Per Inch 点每英寸 3. A.M.U 原子质量数 4. ADI After develop inspection显影后检视 5. AEI 蚀科后检查 6. Alignment 排成一直线,对平 7. Alloy 融合:电压与电流成线

43、性关系,降低接触的阻值 8. ARC: anti-reflect coating 防反射层 9. ASHER: 一种干法刻蚀方式 10. ASI 光阻去除后检查 11. Backside 晶片背面 12. Backside Etch 背面蚀刻 13. Beam-Current 电子束电流 14. BPSG: 含有硼磷的硅玻璃 15. Break 中断,stepper机台内中途停止键 16. Cassette 装晶片的晶舟 17. CD:critical dimension 关键性尺寸 18. Chamber 反应室 19. Chart 图表 20. Child lot 子批 21. Chip

44、(die) 晶粒 22. CMP 化学机械研磨 23. Coater 光阻覆盖 24. Coating 涂布,光阻覆盖 25. Contact Hole 接触窗 26. Control Wafer 控片 27. Critical layer 重要层 28. CVD 化学气相淀积 29. Cycle time 生产周期 30. Defect 缺陷 31. DEP: deposit 淀积 32. Descum 预处理 33. Developer 显影液;显影 34. Development 显影 35. DG: dual gate 双门 36. DI water 去离子水 37. Diffusio

45、n 扩散 38. Doping 掺杂 39. Dose 剂量 40. Downgrade 降级 41. DRC: design rule check 设计规则检查 42. Dry Clean 干洗 43. Due date 交期 44. Dummy wafer 挡片 45. E/R: etch rate 蚀刻速率 46. EE 设备工程师 47. End Point 蚀刻终点 48. ESD: electrostatic discharge/electrostatic damage 静电离子损伤 49. ET: etch 蚀刻 50. Exhaust 排气 51. Exposure 曝光 52

46、. FAB 工厂 53. FIB: focused ion beam 聚焦离子束 54. Field Oxide 场氧化层 55. Flatness 平坦度 56. Focus 焦距 57. Foundry 代工 58. FSG: 含有氟的硅玻璃 59. Furnace 炉管 60. GOI: gate oxide integrity 门氧化层完整性 61. H.M.D.S Hexamethyldisilazane,经去水烘烤的晶片,将涂上一层增加光阻与晶片表面附着力的化合物,称H.M.D.S 62. HCI: hot carrier injection 热载流子注入 63. HDP:high

47、 density plasma 高密度等离子体 64. High-Voltage 高压 65. Hot bake 烘烤 66. ID 辨认,鉴定 67. Implant 植入 68. Layer 层次 69. LDD: lightly doped drain 轻掺杂漏 70. Local defocus 局部失焦因机台或晶片造成之脏污 71. LOCOS: local oxidation of silicon 局部氧化 72. Loop 巡路 73. Lot 批 74. Mask (reticle) 光罩 75. Merge 合并 76. Metal Via 金属接触窗 77. MFG 制造部

48、 78. Mid-Current 中电流 79. Module 部门 80. NIT: Si3N4 氮化硅 81. Non-critical 非重要 82. NP: n-doped plus(N+) N型重掺杂 83. NW: n-doped well N阱 84. OD: oxide definition 定义氧化层 85. OM: optic microscope 光学显微镜 86. OOC 超出控制界线 87. OOS 超出规格界线 88. Over Etch 过蚀刻 89. Over flow 溢出 90. Overlay 测量前层与本层之间曝光的准确度 91. OX: SiO2 二氧化硅 92. P.R. Photo resisit 光阻 93. P1: poly 多晶硅 94. PA; passivation 钝化层 95. Parent lot 母批 96. Particle 含尘量/微尘粒子 97. PE: 1. process engineer; 2. plasma enhance 1、工艺工程师 2、等离子体增强 9

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号