30秒计时器设计报告.docx

上传人:牧羊曲112 文档编号:3146850 上传时间:2023-03-11 格式:DOCX 页数:12 大小:41.76KB
返回 下载 相关 举报
30秒计时器设计报告.docx_第1页
第1页 / 共12页
30秒计时器设计报告.docx_第2页
第2页 / 共12页
30秒计时器设计报告.docx_第3页
第3页 / 共12页
30秒计时器设计报告.docx_第4页
第4页 / 共12页
30秒计时器设计报告.docx_第5页
第5页 / 共12页
亲,该文档总共12页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《30秒计时器设计报告.docx》由会员分享,可在线阅读,更多相关《30秒计时器设计报告.docx(12页珍藏版)》请在三一办公上搜索。

1、30秒计时器设计报告课程设计报告 题 目 30S定时器设计 院 部 名 称 班 级 学 生 姓 名 学 号 指 导 教 师 1 目录 前言 一、电路设计原理与方案 . 4 1.1 设计原理 . 4 1.2 设计方案 . 4 二、各单元电路设计 . 4 2.1 脉冲发生电路 . 4 2.2 计数电路 . 6 2.3 译码显示电路 . 8 2.4 控制电路 . 10 三、仿真原理图 . 11 四、总结 . 13 附录、元件清单 . 14 2 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用

2、,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 本设计主要能完成:显示30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为30秒递减计时其计时间隔为0.1秒;计时器递减计时到零时,数码显示器不灭灯,同时发光二极管LED点亮,停止减计数等。 整个电路的设计借助于Multisim 12.0仿真软件和数字逻辑电路相关理论知识,并在Multisim 12.0下设计和进行仿真,得到了预期的结果。 3 一、电路设

3、计原理与设计方案 1.1 设计原理 我们可以用555时基电路构成的多谐振荡器来产生频率为10Hz的脉冲,即输出周期为0.1秒的方波脉冲,将该方波脉冲信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS48把输入的8421BCD码经过内部作和电路“翻译”成七段输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动和暂停/连续、译码显示电路的显示与灭灯及光电报警等功能。 1.2 设计方案 该系统应包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)等几部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制

4、电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能以及工作时间的调节。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开时,计数器开始计数;当按下十位调节开关时,计数器加1;当按下个位调节开关时,计数器同样加1;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。 二、各单元电路设计 2.1 脉冲发生电路 555定时

5、器 555定时器主要是通过外接电阻R和电容器C构成充、放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关管的通断。这4 就很方便地构成从微秒到数十分钟的延时电路、以及多谐振荡器、单稳态触发器、施密特触发器等脉冲波形产生和整形电路。 图2.1.1 555管脚图 用555定时器构成多谐振荡器 用555定时器构成多谐振荡器电路如图2.3(a)所示。电路没有稳态,只有两个暂稳态,也不需要外加触发信号,利用电源VCC通过R1和R2向电容器C充电,使uC逐渐升高,升到2VCC/3时,uO跳变到低电平,放电端D导通,这时,电容器C通过电阻R2和D端放电,使uC下降,降到VCC/3时

6、,uO跳变到高电平,D端截止,电源VCC又通过R1和R2向电容器C充电。如此循环,振荡不停, 电容器C在VCC/3和2VCC/3之间充电和放电,输出连续的矩形脉冲,其波形如图2.3(b)所示。 R1 7 R2 6 555 3 1 5 C 0.01F 0 8 4 +VCC uc 2 3VCC13VCC uo u c 2 uo tw1 tw2 T 0 t t 图2.1.2 555构成的振荡电路及即波形 5 输出信号uO的脉宽tW1、tW2、周期T的计算公式如下: tW10.7(R1R2)C tW20.7R2C TtW1tW20.7(R12R2)C 要使555构成的多谐振荡电路产生1Hz的脉冲,因此

7、可以令R1= 8.2kW,R2= 68kW,C= 10uF,得到周期T=1s,即按照图2.4连接的电路就可以产生1Hz的秒脉冲。 图2.1.3 555定时器构成的多系振荡电路 2.2 计数器电路 计数器是一个用以实现计数功能的时序逻辑部件,它不仅可以用来对脉冲进行计数,还常用做数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。本次课程设计中选用74HC192来实现要求的减法计数功能。图2.1是74HC192的管脚图。 6 图2.2.1 74HC192管脚图 74HC192具有下述功能: 异步清零:MR=1,Q3Q2Q1Q0=0000 。 异步置数:MR=0, PL=0,Q3Q2Q1Q0

8、=D3D2D1D0 。 保持: MR=0,PL=1,CPU=CPD=1,Q3Q2Q1Q0保持原态 加计数:CR=0, PL=1,CPU=CP,CPD=1,Q3Q2Q1Q0按加法规律计数 减计数:CR=0, PL=1,CPU=1,CPD= CP,Q3Q2Q1Q0按减法规律计数 图2.2.2 30秒倒计时器的计数电路 7 它的计数原理是:使加计数脉冲信号引脚CPu=1,计数脉冲加入个位74HC192引脚CPD脚,当减计数到零时,个位 74HC192的CO端发出错位脉冲,使十位计数器减计数,当高、低位计数器处于全零时,CPD端的输入时钟脉冲作用下,计数器再次进入下次循环减计数。 2.3 译码显示电路

9、 数码管是一种半导体发光器件,其基本单元是发光二极管,也称LED数码管或LED七段显示器。因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。图2.3是74LS48的外部管脚图 图2.3.1 74LS48管脚图 七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。 它有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下: 灭

10、灯输入BI/RBO BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI0时,无论其它输入端是什么电平,所有各段输入ag均为0,所以字形熄灭。 8 试灯输入LT 当LT0时,BI/RBO是输出端,且RBO1,此时无论其它输入端是什么状态,所有各段输出ag均为1,显示字形8。 动态灭零输入RBI 当LT1,RBI0且输入代码DCBA0000时,各段输出ag均为低电平,与BCD码相应的字形0熄灭,故称“灭零”。利用LT=1与RBI=0可以实现某一位的“消隐”。此时BI/RBO是输出端,且RBO=0。 动态灭零输出RBO BI/RBO作为输出使用时,受控于LT和RBI

11、。当LT1且RBI0,输入代码DCBA=0000时,RBO=0;若LT=0或者LT1且RBI1,则RBO=1。该端主要用于显示多位数字时,多个译码器之间的连接。 对输入代码0000,译码条件是:LT和RBI同时等于1,而对其它输入代码则仅要求LT1,这时候,译码器各段ag输出的电平是由输入BCD码决定的,并且满足显示字形的要求。74LS48的功能表如下: 74LS48功能表 十进数 或功能 0 1 2 3 4 5 6 7 8 9 10 LT H H H H H H H H H H H 输入 BI/RBO RBI H x x x x x x x x x x D C B A 0 0 0 0 0 0

12、 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 H H H H H H H H H H H a 1 0 1 1 0 1 0 1 1 1 0 b 1 1 1 1 1 0 0 1 1 1 0 c 1 1 0 1 1 1 1 1 1 1 0 d 1 0 1 1 0 1 1 0 1 0 1 e 1 0 1 0 0 0 1 0 1 0 1 f 1 0 0 0 1 1 1 0 1 1 0 g 0 0 1 1 1 1 1 0 1 1 1 输出 9 11 12 13 14 15 BI RBI LT H H

13、 H H H x H L x x x x x x L x 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 x x x x 0 0 0 0 x x x x H H H H H L L H 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 1 1 0 0 0 1 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 1 1 1 1 0 0 0 1 表2.3.2 74LS48的功能表 本次设计的译码显示电路可以按照图2.5连接电路 图2.3.3 译码显示电路 2.4控制电路 开关S1闭合后,74HC192

14、实现置数功能,七段数码管显示30;当S1断开后,计数器开始计数;当暂停/连续开关S2拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停/连续开关S2拨在连续时,计数器继续累计计数;在减计数 10 的过程中也可按下S1实现复位,使计时器开始重新计时。(参考仿真原理图2.4.1) 三 仿真原理图 30S计时器的设计主要分为五个模块:时钟模块、计数模块、译码显示模块、辅助时序控制模块,打开仿真软件Multisim 12.0按要求在Multisim 12.0里连接好如图所示的电路后就可以进行电路仿真了,总体仿真电路如下图。 图2.4.1 30秒倒计时器整体电路图 11 开关S1闭合,置数: 开关S

15、1断开,开始计数;S2闭合,计数暂停 12 四、课程设计总结 课程设计需要的是活学活用所涉及的知识。在本次的课程设计中通过自己选题,找材料,分析、设计等,也掌一些软件的操作方法,这为以后的学习做了铺垫。整个设计实现了从单一的理论学习到解决实际问题的转变。通过本次的课程设计,我最大的收获就是提高了自身的动手能力,培养了我的寻求解决问题的能力,同时也增强了我其它方面的能力。在设计中,我充分应用我们所学的知识,例如:集成电路74LS系列、二极管、555等元件的应用。这次实践使我受益匪浅,在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增强了我的实际操作能力。在让我体会到设

16、计电路艰辛的同时,更让我体会到成功的喜悦和快乐。这次设计所用的的工具是Multisim 12.0,由于之前没有接触过类似的软件,所以画图和仿真就没那么方便,但我也尽我所能去做好此次设计。 本次课程设计提高了我的综合动手能力和工程设计能力,它使我的理论知识得到了综合应用,培养我综合运用所学理论的能力和解决较复杂的实际问题的能力。 电子技术发展呈现出系统集成化,自动化,设计自动化,用户专业化和测试智能的优势,作为一个大学生。我们必须时代的发展,这使我们必须要扩展自己的知识,并利用计算机来辅助分析和设计,这对我们是有益的。 课程设计的自主设计、学习和研究过程中,通过写课程设计的总结报告,初步训练我的

17、书面表达能力。组织逻辑能力,这些技能应用性强,对我的将来就业和进一步发展帮助较大。同时也加强了对课本知识的理解,使我们做到理论和与实际的联系,收获很大。并且我也深深地体会到自己所学知识的不足,激发了我的自学能力和应对挑战的能力。为今后学习打下了良好的基础,也培养了我们严谨务实的作风。 13 附录: 元件清单 元件名称 数码管 8421BCD码计数器 脉冲电路 译码器 电容 电阻 导线 电源 发光二极管 开关 型号 LED-5161AS 74LS192D 555 74LS48D CAPACITOR RESISTOR VCC LED DIPSW 规格 直插式 直插式 直插式 直插式 5.1K、1K、8.2K、68K 5V 数量 2 2 1 2 2 5 若干 4 1 2 14

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号