PWM模块使用.docx

上传人:小飞机 文档编号:3164875 上传时间:2023-03-11 格式:DOCX 页数:4 大小:37.58KB
返回 下载 相关 举报
PWM模块使用.docx_第1页
第1页 / 共4页
PWM模块使用.docx_第2页
第2页 / 共4页
PWM模块使用.docx_第3页
第3页 / 共4页
PWM模块使用.docx_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《PWM模块使用.docx》由会员分享,可在线阅读,更多相关《PWM模块使用.docx(4页珍藏版)》请在三一办公上搜索。

1、PWM模块使用序:关于飞思卡尔单片机,大致用了大半年了,总结下关于一些PWM的模块应用,飞思卡尔单片机的一个麻烦的在于寄存器很多,仅是PWM模块就有36个寄存器,总共是十个寄存器。所以使用好飞思卡尔单片机就要学习下每一个寄存器。在这里,本人也是初学,大致写写自己的一些笔记吧。 北京理工大学珠海学院 徐方鑫 E-MAIL:280599580 例程: /* /功能:完成PWM模块初始化 /入口参数:无 /出口参数:无 */ void PWM_Init(void) PWME=0x00; /禁止PWM模块 PWMPRCLK=0x06; /CLOCK A的预分频设置为6 PWMSCLA=125; /SA

2、的分频因子设置为125 PWMCLK=0x01; /通道0选择SA作为PWM时钟 PWMPER0=200; /通道0周期寄存器设置为200 PWMDTY0=0; /通道0占空比寄存器设置 PWMPOL=0x01; /PWM输出先为高电平,之后变为低电平 PWMCAE=0x00; /左对齐输出 PWMCTL=0x00; /不级联 PWME=0x01; /使能PWM通道0 以上是一个基本的PWM初始化程序,利用了很多PWM的寄存器,下面就根据不同的寄存器讲解一下。 PWM允许寄存器 PWME可以通过设置相应的控制位启动或者停止PWM信号的输出。但是,由于PMWMEx需要与时钟源同步,直到PWM模块

3、时钟下一个周期到来,才能输出正确的PWM波形。 注:当处于级联模式时,8个8位PWM通道通过两两级联构成4个16位PWM通道,此时PWME1,PWME3,PWME5和PWME7对应控制4个16位PWM通道,而其他控制位无效。 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 PWME7 PWME6 PWME5 PWME4 PWME3 PWME2 PWME1 PWME0 0 0 0 0 0 0 0 读/写 复位值 0 读:任何时刻 写:任何时刻 1表示允许PWMx通道输出,0表示禁止PWMx通道输出 PWM极性寄存器 PWMPOL是极性寄存器,如果极性选择为是1,周

4、期开始时PWM通道输出高电平,当通道计数器数值等于占空比计数值时翻转为低电平;相反,如果极性选择位是0,周期开始时PWM通道输出低电平,当通道计数器数值等于占空比计数器值时翻转为高电平。 注:PPOLx寄存器的位可以在任何时刻写入。如果正在产生PWM信号时改变极性,在转换过程中可能截短或延长输出脉冲。 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 PROL7 PROL6 PROL5 PROL4 PROL3 PROL2 PROL1 PROL0 0 0 0 0 0 0 0 读/写 复位值 0 1代表在周期开始时输出高电平,0代表在周期开始时输出为低电平 PWM时钟

5、选择寄存器 PWMCLKx可以选择两个时钟源作为该通道的时钟源。分别为CLOCK A和CLOCK SA,CLOCK B和CLOCK SB,其中CLOCK A和CLOCK B是直接由总线时钟得到,CLOCK SA和CLOCK SB是通过对CLOCK A或CLOCK B进行再次的分频得到,就是进行两次的分频。 注:PCLKx寄存器的位可以在任何时刻写入。如果正在产生PWM信号时改变极性,在转换过程中可能截短或延长输出脉冲。 其中CLOCK A或CLOCK SA控制0,1,4,5通道的PWM,CLOCK B或CLOCK SB控制2,3,6,7通道的PWM。 Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1 Bit0 PCLK7 PCLK6 PCLK5 PCLK4 PCLK3 PCLK2 PCLK1 PCLK0 读/写 复位值 0 0 0 0 0 0 0 0 1表示选择CLOCK SB或CLOCK SA作为相应通道时钟源,0代表选择CLOCK A或者CLOCK B直接作为通道的时钟源。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号