数字电子技术实验报告册.docx

上传人:牧羊曲112 文档编号:3558967 上传时间:2023-03-13 格式:DOCX 页数:42 大小:50.79KB
返回 下载 相关 举报
数字电子技术实验报告册.docx_第1页
第1页 / 共42页
数字电子技术实验报告册.docx_第2页
第2页 / 共42页
数字电子技术实验报告册.docx_第3页
第3页 / 共42页
数字电子技术实验报告册.docx_第4页
第4页 / 共42页
数字电子技术实验报告册.docx_第5页
第5页 / 共42页
亲,该文档总共42页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《数字电子技术实验报告册.docx》由会员分享,可在线阅读,更多相关《数字电子技术实验报告册.docx(42页珍藏版)》请在三一办公上搜索。

1、数字电子技术实验报告册 数字电子技术实验报告册 数字电子技术实验报告册 电工电子实验教学中心 数字电子技术课程组 1 北京信息科技大学 数字电子技术实验报告册 目 录 实验一 集成逻辑门电路参数的测试 . 3 实验二 门电路功能测试 . 8 实验三 组合逻辑电路的设计 . 12 实验四 触发器应用设计实验 . 16 实验五 计数、译码、显示电路的设计 . 19 实验六 555定时器设计电路 . 24 实验七 基于FPGA的分频器设计 . 27 实验八 基于FPGA的跑马灯实验电路设计 . 32 附录 常用数字集成电路管脚图 . 39 2 数字电子技术实验报告册 实验一 集成逻辑门电路参数的测试

2、 一、 实验目的 (1) 掌握数字实验设备的使用方法。 (2) 熟悉数字集成电路手册的使用方法。 (3) 了解TTL器件和CMOS器件的使用特点。 二、 实验器材与仪器 (1) 双踪示波器:可以同时测量和观察两路信号的波形,测量电路信号波形的幅值、周期等参数。 (2) 数字万用表:用于测量交流和直流电压、电流、电阻等。某些万用表还可以测量三极管、二极管、电容和频率等。 (3) 数字实验箱。 三、 预习与思考题 (1) 为什么与非门输出端不能直接接5V?当我们希望输出高电平为5V时应怎么办?与非门输出端可以接地吗? (2) 测量扇出系数NOL的原理是什么?为什么只计算输出低电平时的负载电流值,而

3、不考虑输出高电平的负载电流值? (3) 与非门不用的输入端应如何处理?为什么? (4) 说明TTL集成电路与COMS集成电路在功耗方面的差异。 四、 实验原理说明 在数字电路设计中,我们经常用到一些门电路,对门电路参数的了解,有助于电路设计更加正确可靠。我们以74LS00 为例,学习门电路的主要参数和测试方法。 (1) 与非门的逻辑功能。 与非门的逻辑功能为:当输入端中有一个或一个以上是低电平时,输出端为高电平;只有当输入端全部为高电平时,输出端才是低电平。图形符号如图1-1所示, 逻辑表达式为: ABY图1-1 与非门的逻辑符号 (2) TTL与非门基本参数 1) 低电平输入电流IIL 低电

4、平输入电流IIL是指被测输入端接低电平,其余输入端悬空,输出端空载时,由被测输入端流出的电流值。在多级门电路中,IIL相当于前级门输出低电平时,后级向前级门灌入的电流,因此它关系到前级门的灌电流负载能力,即直接影响前级门电路带负载的个数,因此希望IIL小些。测试电路如图1-2。 2) 高电平输入电流IIH 高电平输入电流IIH又称为输入漏电流,它是指被测输入端接高电平,其余输入端接地,输出端空载,流入被测输入端的电流值。在多级门电路中,它相当于前级门输出高电平时,3 数字电子技术实验报告册 流出前级门的电流,称为前级门的拉电流负载,其大小关系到前级门的拉电流负载能力,因此希望IIH小些。由于I

5、IH较小,难以测量,一般免于测试。测试电路如图1-3所示。 Vcc+5VVcc+5VIIHAIILmA图1-2 IIL测试电路 图1-3 IIH测试电路 3) 电压传输特性 门电路的输出电压Vo随输入电压Vi变化的曲线Vo=(Vi) 称为门电路的电压传输特性,通过它可读得门电路的一些重要参数。如输出高电平、输出低电平、关门电平、开门电平、阈值电平等等。测试电路如图1-4所示。采用逐点测试法,调节Rw ,逐点测得Vi及Vo,然后绘成曲线。 Vcc+5VRW10KViVo图1-4 电压传输特性测试电路 4) 扇出系数No 扇出系数No是指门电路能驱动同类门的个数,它是衡量门电路负载能力的一个参数。

6、TTL与非门有两种不同性质的负载,即灌电流负载和拉电流负载,因此,有两种扇出系数,即低电平扇出系数NOL和高电平扇出系数NOH。通常IIH NOL,故常以NOL作为门的扇出系数。 NOL测试电路如图1-5所示,门的输入端全部悬空,输出端接灌电流负载RL,调节RL使IOL增大,VOL随之增高,当VOL达到VOLM时的IOL就是允许灌入的最大负载电流,则 INOL = OL IIL通常NOL 8。 4 数字电子技术实验报告册 Vcc+5VRL1KmAIOL200 VVOL图1-5 扇出系数测试电路 五、 实验内容与要求 (1) 验证TTL集成与非门74LS00的逻辑功能 利用实验装置上已有的LED

7、指示灯及电平拨码开关所提供的“0”和“1”电平,测量74LS00逻辑功能。测量表格见表1-1: 表1-1 74LS00的逻辑功能测量表 输入 输出 A B Y 0 0 0 1 1 0 1 1 (2) 74LS00主要参数测试 1) 分别按图 1-2、图 1-3、图 1-5搭接电路,通过实验得到低电平输入电流IIL是,高电平输入电流IIH又,低电平输出电流和高电平输出电流,计算出扇出系数,将结果记录入表1-2。 表1-2 测量记录表 IIL IIH IOL IOH NOL 2) 测量电压传输特性曲线。电路如图1-4,调节电位器RW,使VI从0V向高电平变化,逐点测量VI和V0的对应值,记录在表1

8、-3中。 表1-2 测量记录表 VI V0 0 0.2 0.4 0.6 0.8 1.0 1.2 1.5 2.0 2.5 3 3.5 4 六、 实验报告书写部分的要求 (1) 总结示波器、万用表等仪器设备的使用方法及各旋钮的功能。 (2) 填写以上表格的实验数据。 (3) 总结本次实验的收获和结论。 (4) 回答预习思考题。 (5) 其他。 5 数字电子技术实验报告册 6 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日期 7 数字电子技术实验报告册 实验二 门电路功能测试 一、 实验目的 (1) 掌握与门、或门、与非门、异或门等门电路的逻辑功能。 (2) 掌握OC门、三态门

9、的应用和特点。 二、 实验器材与仪器 (1) 数字实验箱。 (2) 数字万用表。 三、 预习与思考题 (1) 复习与门、或门、与非门、异或门、三态门的逻辑功能。 (2) 要使一个异或门实现非逻辑,电路将如何连接,为什么说异或门是可控反相器? (3) 对于TTL门电路为什么说输入端悬空相当于接高电平? (4) 说明多个三态门“线与”时应注意的那些问题。 四、 实验内容与要求 (1) 验证与门、或门、与非门、异或门及反向器的逻辑 将集成电路与门74LS08插入集成块的空插座上。注意必须再接上电源正、负极,输入端接逻辑开关,输出端接发光二极管LED,即可进行验证。观察输出结果,并记录在表2-1中。

10、用同样的方法验证或门74LS32、与非门74LS00、异或门74LS86、反向器74LS04的逻辑功能。 表2-1 测量记录表 输入 B(K2) 0 0 1 1 A(K1) 0 1 0 1 与门 Q=AB 或门 Q=A+B 输出 与非门 异或门 Q=AB 反向器 (2) 74LS125三态门应用测试 利用74LS125三态门 “线与”连接,实验电路如图2-1所示。三个三态门的输入分别接高电平、地、连续脉冲。根据三个不同状态,观察指示灯的变化,体会三态门的功能。将结果记录在表2-2中。8 数字电子技术实验报告册 21EN513逻辑开S2关S3S116LED4ENY9110EN8图2-1 74LS

11、125三态门应用测试 表2-2 三态门测量记录表 逻辑开关 S1 0 1 1 S2 1 0 1 S3 1 1 0 输出 Y VCC144B134A124Y113B103A93Y8VCC144B134A124Y113B103A93Y874LS0874LS32123456712345671A 1B1Y2A2B2YGND1A 1B1Y2A2B2YGNDVCC144B134A124Y113B103A93Y8Vcc141312&11109&874LS8674LS00&12345&GND6712345671A 1B1Y2A2B2YGND9 数字电子技术实验报告册 VCC146A136Y125A115Y10

12、4A94Y874LS0412345671A 1Y2A2Y3A3YGND141312111098VccAYEGND1234567图2-2 74LS125三态输出四总线缓冲器的逻辑符号及引脚排列 五、 实验注意事项 (1) 所有集成电路芯片均需接电源。 六、 实验报告要求 (1) 画出实验用逻辑门电路的逻辑符号,并写出逻辑表达式。 (2) 整理并记录实验表格和实验结果。 (3) 总结三态门的功能及正确的使用方法。 (4) 回答预习思考题思考题 10 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日期 11 数字电子技术实验报告册 实验三 组合逻辑电路的设计 一、 实验目的 (1

13、) 掌握用小规模集成逻辑门设计组合逻辑电路的方法。 (2) 掌握用中规模集成逻辑门设计组合逻辑电路的方法。 (3) 学习检查、分析电路简单故障的能力。 二、 实验设备 (1) 数字实验箱。 (2) 数字万用表。 三、 实验原理与说明 组合逻辑电路的设计方法: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。 组合逻辑电路的设计工作通常可按以下步骤进行,如图3-1所示: 实际逻辑问题真值表或者逻辑函数化简简化的逻辑函数逻辑图图3-1组合电路设计步骤 (1) 把实际逻辑问题进行逻辑抽象 在许多情况下,提出的设计要求是用文字描述的一个具有一定因果关

14、系的事件。这时就需要通过逻辑抽象的方法,用一个逻辑函数来描述这一因果关系。 逻辑抽象的工作通常是这样进行的: 1) 分析事件的因果关系,确定输入变量和输出变量。输入变量一般被定义为引起事件的原因,输出变量一般被定义为事件的结果。 2) 定义逻辑状态的含意,进行逻辑状态赋值。 以二值逻辑的0、1两种状态分别代表输入变量和输出变量的两种不同状态。这里0和1的具体含意完全是由设计者人为选定的。 3) 根据给定的因果关系列出逻辑真值表。 (2) 写出逻辑函数式 为便于对逻辑函数进行化简和变换,需要把真值表转换为对应的逻辑函数式。 (3) 选定器件的类型 为了实现最终的逻辑函数,既可以用小规模集成门电路

15、组成相应的逻辑电路,也可以用中规模集成的常用组合逻辑器件或可编程逻辑器件等构成相应的逻辑电路。应该根据对电路的具体要求和器件的资源情况决定采用哪一种类型的器件。 (4) 可能根据需要,将逻辑函数化简或变换成适当的形式 在使用小规模集成的门电路进行设计时,为获得最简单的设计结果,应将函数式化成最简形式,即函数式中相加的乘积项最少,而且每个乘积项中的因子也最少。如果对所用器件的种类有附加的限制(例如只允许用单一类型的或非门),则还应将函数式变换成与器件种类相适应的形式。 在使用中规模集成的常用组合逻辑电路设计电路时,需要将函数式变换为适当的形式,以便能12 数字电子技术实验报告册 用最少的器件和最

16、简单的连线接成所要求的逻辑电路。在使用这些器件设计组合逻辑电路时,应该将待产生的逻辑函数变换成与所用器件的逻辑函数式相同或类似的形式。 (5) 根据化简或变换后的逻辑函数式,画出逻辑电路图。 四、 实验内容 任选以下题目中的一个进行设计并实现。 (1) 题目A:2位二进制乘法器设计 输入A1A0和B1B0两路二进制信号,输出为A1A0B1B0的乘积,通过数码管显示出来。如A1A0和B1B0为11和10时,显示“6”。 (2) 题目B:4人表决电路 设计一个4人表决电路,多数通过,用发光二极管表示。 (3) 题目C:奇偶校验电路 设计一个6位奇偶校验器,当6个输入中有偶数个1时,发光二极管A亮;

17、否则发光二极管B亮。 (4) 题目D:大月指示器电路 设计一个大月指示器,电路输入表示月份,若该月份天数为31,则发光二极管亮,其它情况发光二极管不亮。 备选芯片:74LS151、74LS10、74LS20、74LS00、74LS04、74LS08、74LS32等常用集成电路。 五、 实验报告要求 (1) 从实验内容所列的题目中选择一个题目进行设计,设计方法和方案不限。 (2) 在实验报告中写出设计思路和设计过程,画出电路图,列出元器件清单。 (3) 自行设计测试表格,完成实际电路的测试。 13 数字电子技术实验报告册 14 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日

18、期 15 数字电子技术实验报告册 实验四 触发器应用设计实验 一、 实验目的 (1) 掌握由与非门组成的基本SR锁存器的逻辑功能。 (2) 掌握JK触发器的电路结构及动作特点。 (3) 掌握JK触发器的逻辑功能及测试方法。 (4) 了解分频的概念并掌握使用触发器设计分频器的方法。 二、 实验设备 (1) 双踪示波器。 (2) 数字实验箱。 三、 预习与思考题 (1) 复习JK触发器的电路结构和动作特点。 (2) 熟悉芯片的管脚排列及使用方法。 (3) 对主从JK触发器的输入信号J、K、CP应作何限制? (4) 如何用触发器实现分频电路?请画出使用触发器实现的4分频电路及输出波形,触发器类型不限

19、。 四、 实验内容 (1) 用74LS00其中两组与非门按图4-1接成S-R锁存器,将结果填入表4-1中。SDG1Q 表4-1 测量记录表 Sd0 Rd0 1 0 1 Q Q 逻辑功能 RDQG20 1 1 图4-1 SR锁存器 (2) JK触发器逻辑功能测试 用数字实验装置所提供的发光二极管、CLK单拍脉冲、电平开关、电源等对74LS112(双JK触发器)进行静态测试,验证其逻辑功能,将结果填入表4-2中。 、Sd端逻辑功能的测试,将结果填入表4-3中。 (3) JK触发器Rd16 数字电子技术实验报告册 表4-2 JK触发器的逻辑功能(Rd=1) =SdQJ K CLK 表4-3 测量记录

20、表 Sd Rd10 01 1 1 0 Q Q* Q* 1 Q = 0 Q = 1 0 0 1 1 0 1 0 1 01 10 01 10 01 10 01 10 1 10 01 0 (4) 使用JK触发器设计一个8进制加法计数器, CLK端输入连续脉冲,用示波器观察输入与输出的波形,将其画在坐标纸上。 五、 实验注意事项 (1) 改接线路时,要关掉电源。 (2) 调节电子仪器各旋钮时,动作不要过猛。实验前,要熟读双踪示波器的使用说明,特别是观察双踪时,要特别注意开关,旋钮的操作与调节,示波器探头的地线同时接地。 六、 实验报告要求 (1) 回答思考题; (2) 在表格中填写实验结果; (3)

21、写出实验的设计思路和设计过程,画出电路图,画出输入与输出的波形图。 17 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日期 18 数字电子技术实验报告册 实验五 计数、译码、显示电路的设计 一、 实验目的 (1) 掌握中规模集成计数器的功能特点及使用方法; (2) 掌握使用集成计数器构成任意模值进制计数器、分频器的方法; (3) 掌握译码器的原理及使用方法。 (4) 掌握数码显示电路的设计方法。 (5) 掌握电子设计软件Multisim的使用方法。 二、 实验设备 (1) 计算机; (2) 数字实验箱; (3) 数字万用表。 三、 预习与思考题 (1) 计数器芯片简介:7

22、4LS160、74LS161芯片引脚图如图5-1所示。 图5-1 74LS160、74LS161的引脚图 请查找资料填写下表: 引脚号 1 2 36 7 9 10 1114 15 引脚 功能说明 19 CLR CLK AD ENP LOAD ENT QAQD RCO 数字电子技术实验报告册 (2) 译码器74LS47 图5-2 74LS47的引脚图 74LS47是BCD-七段数码管译码器/驱动器,引脚图如图5-2所示。请查找相关引脚资料,填写下表。 引脚号 1、2、6、7 3 4 5 915 (3) 七段显示器 七段显示器又称数码管,分为共阳极数码管和共阴极数码管2类。 请参考共阳极数码管结构

23、图,画出共阴极数码管的结构图。 引脚 A0A3 功能说明 LT BI/RBO RBI ab 图5-3 共阳极数码管结构图 共阴极数码管结构图 20 数字电子技术实验报告册 (4) 请查阅相关资料,回答以下思考题。 1) 数码管在实际使用当中是否需要接限流电阻?为什么? 2) 最常用的共阳极数码管驱动芯片和共阴极数码管驱动芯片各是哪种型号?并说明这两类数码管的各自显示原理以及在使用数码管时应注意的事项。 3) 请简要说明74LS160和74LS161功能上的差异,若要实现实验内容选择那一种计数器更方便一些? 四、 原理说明 假定已有M进制计数器,需要的是N进制计数器。这时有M N和M N的情况

24、M进制计数器有M个状态,在计数过程中,若设法跳过MN个状态,即可得到N进制计数器。通常可用两种方法实现,即反馈置零法和反馈置数法。 反馈置零法适用于有清零输入端的集成计数器。对于有异步清零输入端的计数器来讲,其工作原理为:当中规模M计数器从S0状态开始计数,当计数脉冲输入N个脉冲后,M进制计数器处于SN状态。如果利用SN状态译码产生一个置零信号反馈到计数器的异步清零输入端,则计数器立刻返回到S0状态,这样就跳过了MN个状态,从而实现模值为N的计数器。 由于电路在一进入SN状态后立即被置成S0状态,所以SN状态仅在瞬间出现,在稳定的状态循环中不包括SN状态。 反馈置数法适用于具有预置数功能的集成

25、计数器。对于具有同步预置数功能的计数器而言,在其计数过程中,可以将它输出的任何一个状态通过译码,产生一个预置数控制信号反馈至预置数控制端,在下一个计数信号作用后,计数器就会把预置数输入端D0D3的状态置入输出端。预置数控制信号消失后,计数器就从被置入的状态开始重新计数。 (2) M N的情况 由于M进制计数器只有M个状态,所以必须用多片M进制计数器组合起来,才能构成N进制计数器。构成方法有两种。一种是以并行进位的方式连接构成,一种是以串行进位方式连接构成。 五、 实验内容 (1) 用计数器芯片设计实现自己学号的计数器,并译码、显示出来。画出电路原理图,写出设计过程。用Multisim软件仿真上

26、述电路,打印出仿真结果,附在实验报告中。 (2) 在实验装置上实现六十进制计数器,并译码、显示出来。画出电路原理图,写出设计过程。 六、 实验报告要求 (1) 回答预习思考题; (2) 在实验报告中写出电路设计思路和设计过程。画出仿真原理图和仿真结果。列出元器件清单。写出实验结果及实验总结。 21 数字电子技术实验报告册 22 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日期 23 数字电子技术实验报告册 实验六 555定时器设计电路 一、 实验目的 (1) 熟悉集成定时器555的工作原理及应用。 (2) 掌握使用定时器555设计多谐振荡器的方法。 二、 实验设备 (1)

27、 数字实验箱; (2) 双踪示波器; (3) 数字万用表。 三、 预习与思考题 (1) 复习555定时器的电路结构与工作原理。 (2) 思考如果希望得到高电平电压为10V的多谐信号,电路应如何处理? (3) 对于不标准的时钟信号,一般应进行怎样的处理? 四、 原理说明 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555。555 定时器的电源电压范围宽,可在4.5V16V工作,7555可在318V工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。555定时器成本低,性能可靠,只需要外接几

28、个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。555定时器的引脚分布图和结构图如图6-1所示。 图6-1 555定时器的结构图和引脚分布图 24 数字电子技术实验报告册 +5V5.1K47VORD555Vco58VccVOGND13VOD1RW210K20K5.1KD2RW16VTH2VTRC0.1C10.1C210+图6-2 占空比与频率均可调的多谐振荡器 由555定时器构成的占空比与频率均可调的多谐振荡器电路如图6-2所示。对C充电时,充电电流通过R1、D1、RW2和RW1;放电时通过RW1、RW2、D2、R2。当R1R2、RW2调至中心点,因充放电时

29、间基本相同,其占空比约为50%,此时调节RW1仅改变频率,占空比不变。如RW2调至偏离中心点,再调节RW1,不仅振荡频率改变,而且对占空比也有影响。RW1不变,调节RW2,仅改变占空比,对频率无影响。因此,当接通电源后,应首先调节RW1使频率至规定值,再调节RW2,以获得需要的占空比。若频率调节的范围比较大,还可以用波段开关改变C的值。 五、 实验内容及步骤 按照图6-2连接电路,实现一个占空比连续可调并能调节振荡频率的多谐振荡器,用示波器观察其输出波形。 六、 实验注意事项 (1) 调节电位器的旋钮时,动作不要过猛。 (2) 示波器探头的接地端一定要接地,以防外界干扰而影响测量的准确性 七、

30、 实验报告要求 (1) 回答预习思考题; (2) 根据图6-2所示电路,计算此多谐振荡器的占空比和振荡频率的范围。 25 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日期 26 数字电子技术实验报告册 实验七 基于FPGA的分频器设计 一、 实验目的 (1) 了解EDA软件在电子设计中的重要作用。 (2) 熟悉并掌握MAXPLUS II 或QUARTUS II等开发软件的基本使用方法。 (3) 运用图形输入法设计分频器电路,并进行电路仿真。 二、 实验仪器 (1) 计算机; (2) EDA/SOPC实验开发装置。 三、 预习与思考题 (1) 复习分频器的原理及构成。 (2

31、) 预习MAXPLUS II或QUARTUS II软件的使用方法,设计电路。 四、 实验内容及步骤 在EDA/SOPC实验装置上,通过对时钟频率为1MHZ的时钟源进行分频,分别得到100KHZ,10KHZ, 1KHZ, 100HZ, 10HZ, 1HZ的脉冲频率输出,在实验装置上通过LED显示模块输出显示。 分频电路框图如图7-1所示: 1MHz100KHz除1010KHz除101KHz除10100Hz除1010Hz除10除101Hz图7-1 分频电路框图 从图7-1中我们可以看出将1MHZ的脉冲连续6次除以10,即可得到我们需要的输出频率。 在EDA/SOPC实验装置上,配备了Altera公

32、司生产的cyclone系列的器件,型号为EP1C6Q240C8。利用Altera的器件库,找到一个BCD的计数器:74LS160,从它的波形图中27 数字电子技术实验报告册 可看到它具有的逻辑功能:BCD计数,异步清零,同步置数,有进位输出。其中 CLK-为时钟信号; ENT、 ENP- 为使能信号,高电平有效; CLRN-异步清零端,电平为高时,计数器清零,电平为低时,允许计数; LDN-同步置数端,为高电平时,计数器置数,QDQA= DA,为低电平时,允许计数; DA-置数端; QDQA-计数器输出端; RCO-进位输出端。 74160LDNABCDENTENPCLRNCLKinst9QA

33、QBQCQDRCOCOUNTER图7-2 74 LS160图形符号 图7-3 74 LS160波形图 74LS160是一个可以对输入时钟进行十分频的器件。当计数器从0计数到9时,RCO从低电平跳到高电平,在下一个脉冲到来时,RCO再回到低电平。每十个计数脉冲RCO翻转一次,利用RCO特性,将前一级的RCO输出端接到下一级的时钟输入端,就完成了连续分频的目的。调用6次74LS160,即可完成设计电路要求。 为了使电路层次清楚,形式简洁,将分频电路创建符号文件。 将待分频的时钟信号输入到CLK,分频后输出的信号连接到实验装置LED指示灯电路。创建一个顶层文件。画出电路。 编辑该电路,进行器件管脚定

34、义。AssignmentsPins,在打开的界面中找出Location,点击此28 数字电子技术实验报告册 处,出现将要被定义的管脚符号,找出当前信号被定义的管脚,即电路在此端口连接到FPGA外围电路的具体位置,输入或输出属性。将分频的信号输出到LED显示电路即可进行观察设计结果。 对顶层电路进行功能仿真。 五、 实验报告要求 (1) 画出分频器电路底层图,并对电路中所用器件功能、作用加以说明; (2) 画出分频器电路顶层图; (3) 截取分频器电路仿真波形图; (4) 对硬件电路输出显示结果加以分析。 29 数字电子技术实验报告册 30 数字电子技术实验报告册 实验报告得分 指导教师 实验室地点 实验室日期 31 数字

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号