第3章-运算方法和运算器课件.ppt

上传人:牧羊曲112 文档编号:3730386 上传时间:2023-03-18 格式:PPT 页数:74 大小:3.10MB
返回 下载 相关 举报
第3章-运算方法和运算器课件.ppt_第1页
第1页 / 共74页
第3章-运算方法和运算器课件.ppt_第2页
第2页 / 共74页
第3章-运算方法和运算器课件.ppt_第3页
第3页 / 共74页
第3章-运算方法和运算器课件.ppt_第4页
第4页 / 共74页
第3章-运算方法和运算器课件.ppt_第5页
第5页 / 共74页
点击查看更多>>
资源描述

《第3章-运算方法和运算器课件.ppt》由会员分享,可在线阅读,更多相关《第3章-运算方法和运算器课件.ppt(74页珍藏版)》请在三一办公上搜索。

1、第3章 运算方法和运算器,3.2 定点乘法运算,3.1 定点加减运算,3.3 定点除法运算,3.4 浮点四则运算,3.5 算术逻辑运算单元,本章需解决的关键问题:如何以加法器为基础,实现各种运算处理。解决思路:复杂运算 四则运算 加法运算解决方法:在加法器的基础上,增加移位传送功能,并选择输入控制条件。,第3章 运算方法和运算器,第一节 定点加减运算,3.1.1 补码加减法,数用补码表示,符号位参加运算。,实际操作能否只取决于操作码?结果需不需修正?如何将减法转换为加法?,1.基本关系式,(X+Y)补=X补+Y补(1)(X-Y)补=X补+(-Y)补(2),式(1):操作码为“加”时,两数直接相

2、加。,3)X=3 Y=2,X补=0 0011 Y补=1 1110,0 0001,(+1补码),2)X=3 Y=2,X补=1 1101 Y补=1 1110,1 1011,(5补码),1)X=3 Y=2,X补=0 0011 Y补=0 0010,0 0101,(+5补码),4)X=3 Y=2,X补=1 1101 Y补=0 0010,1 1111,(1补码),例.求(X+Y)补,(X+Y)补=X补+Y补(1)(X-Y)补=X补+(-Y)补(2),式(2):操作码为“减”时,将减转换为加。,1)X=4 Y=5,X补=0 0100 Y补=1 1011(-Y)补=0 0101,0 1001,(+9补码),2

3、)X=4 Y=5,X补=1 1100 Y补=0 0101(-Y)补=1 1011,1 0111,(9补码),例.求(X Y)补,Y补(Y)补:,将Y补变补,不管Y补为正或负,将其符号连同尾数一起各位变反,末位加1。,即将减数变补后与被减数相加。,X补=0 0100 Y补=1 1011,X补=1 1100 Y补=0 0101,注意:某数的补码表示与某数变补的区别。,例.1 0101原 1 1011,补码表示,1 0011补 0 1101,变补,0 0101原 0 0101,补码表示,符号位不变;,负数尾数改变,正数尾数不变。,0 0011补 1 1101,变补,符号位改变,,尾数改变。,补码的机

4、器负数,运算规则补充:符号位的进位是模,作为溢出量,应该丢掉。,2.算法流程,3.逻辑实现,+1,(1)控制信号,加法器输入端:,+A:打开控制门,将A送。,+B:打开控制门,将B送。,+1:控制末位加 1。,加法器输出端:,CPA:将结果打入A。,(2)补码加减运算器粗框,3.1.2 溢出判断,在什么情况下可能产生溢出?,例.数A有4位尾数,1位符号SA 数B有4位尾数,1位符号SB,符号位参加运算,结果符号Sf符号位进位Cf尾数最高位进位C,正确,正溢,正确,负溢,正确,正确,1.硬件判断逻辑一(SA、SB与Sf的关系),溢出=,SA,SB,Sf,SA,Sf,SB,2.硬件判断逻辑二(Cf

5、与C的关系),正确,正溢,正确,负溢,正确,正确,Cf=0C=0,Cf=0C=1,Cf=1C=1,Cf=1C=0,Cf=1C=1,Cf=0C=0,1,1,1,1,1,1,1.硬件判断逻辑一(SA、SB与Sf的关系),2.硬件判断逻辑二(Cf与C的关系),3.硬件判断逻辑三(双符号位),第一符号位Sf1,第二符号位Sf2,1.硬件判断逻辑一(SA、SB与Sf的关系),2.硬件判断逻辑二(Cf与C的关系),3.硬件判断逻辑三(双符号位),3.1.3 移位操作,逻辑移位,:数码位置变化,数值不变.,1.移位类型,算术移位,1 0 0 0 1 1 1 1,循环左移:,0,1 0 0 1 1 1 1,算

6、术左移:,1,0,0,1,1,1,1,1,0,1,1,1,1,0,(-15),(-30),(1)单符号位:,0 0111,0 1110,(2)双符号位:,00 1110,00 0111,2.正数补码移位规则,(3)移位规则,0 0111,0 0011,01 1100,00 1110,00 0111,数符不变,(单:符号位不变;双:第一符号位不变)。,空位补0,(左移时尾数低位补0;右移时尾数高位补0,右移时第二符号位移至尾数最高位),(1)单符号位:,1 1011,1 0110,(2)双符号位:,10 1100,11 0110,3.负数补码移位规则,(3)移位规则,1 1011,1 1101,

7、11 0110,11 1011,数符不变,(单:符号位不变;双:第一符号位不变)。,左移空位补0,(第二符号位移至尾数最高位).,右移空位补1,易出错处,正确:正确:,00 1110,00 1100,01 1100,01 1100,00 1110,11 0110,11 1100,10 1100,10 1100,11 0110,11 1110,00 0110,正确:,正确:,结论:双符号位在左移的时候,如果尾 数最高位有进位,不论正数或负 数都把它保存到第二符号位;右 移时把第二符号位的值移到尾数 的最高位。,3.1.4 舍入方法,1.0舍1入(原码、补码),0 00100原,1 00101原,

8、1 11011补,2.末位恒置1(原码、补码),0 00100原,1 11011补,1 00101原,0 0010原,1 0011原,1 1110补,0 0011原,1 0011原,1 1101补,1 0011原,1 1101补,例.保留4位尾数:,例.保留4位尾数:,3.2 定点乘法运算,3.2.1 原码一位乘法 每次用一位乘数去乘被乘数。1.算法分析,例.0.11011.1011,X原,Y原,(1)手算 0.1101 0.1011,1101 1101 0000 1101,0.10001111上符号:1.10001111,部分积,问题:1)加数增多(由乘数位数决定)。2)加数的位数增多(与被

9、乘数、乘 数位数有关)。改进:将一次相加改为分步累加。,特点:每次用一位乘数去乘上被乘数得到一项部分积。由于乘数每一位或者是“1”或者是“0”,所以得到的部分积或者是被乘数本身或者是0。因此,我们进行乘法运算的时候,实际上就是根据乘数每一位的状态来判断获得的部分积到底是被乘数还是0。所得到的部分积是逐项左移的。因为乘数各位的权值是逐项增大,所以用乘数的各位分别去乘上被乘数,那么得到的部分积的权值也是逐项增大。,(2)分步乘法,每次将一位乘数所对应的部分积与原部分积的累加和相加,并移位。,设置寄存器:A:存放部分积累加和、乘积高位 B:存放被乘数 C:存放乘数、乘积低位,问题解决:1.在运算过程

10、中,可能两个数相加时尾数的高位要产生进位,这不是溢出,要把它保存起来,以便下一步继续运算。所以,第二符号位暂时保存运算过程中可能出现的高位的进位;第一符号位始终都是0,用来指示累加和、被乘数都是绝对值。2.把原来在手算中累加和不变,新的部分积左移一位,改成新的部分积不变,而让原来的累加和右移一位。,问题:1.既然是绝对值运算为什么有符号位?2.要使加数位数仍然保持4位,也就是让B寄存器仍然保持4位,如何实现?,步数 条件 操作 A C,00.0000.1011,1),Cn=1,+B,Cn,+00.1101,00.1101,1101,00.0110,1.101,0.1101 0.1011,2),

11、Cn=1,+B,+00.1101,01.0011,00.1001,11.10,0.1101 0.1011,3),Cn=0,+0,+00.0000,00.1001,00.0100,111.1,4),Cn=1,+B,+00.1101,01.0001,00.1000,1111,X原Y原=1.10001111,A寄存器内容右移一位,“1”移至C寄存器高位。C寄存器最末位判断完之后,没有必要保存,就可以把Cn丢掉。这样后面各位都依次右移一位,这样空出C的高位,用C的高位保存A的低位。,2.算法流程,Y,Y,N,N,3.运算规则,(1)操作数、结果用原码表示;(2)绝对值运算,符号单独处理;(3)被乘数(

12、B)、累加和(A)取双符号位;(4)乘数末位(Cn)为判断位,其状态决定 下步操作;(5)作n次循环(累加、右移)。,3.2.1 补码一位乘法 1.算法分析 X补=X0.X1X2Xn,(1)Y为正:Y补=0.Y1Y2Yn(XY)补=X补(0.Y1Y2Yn)(2)Y为负:Y补=1.Y1Y2Yn(XY)补=X补(0.Y1Y2Yn)+(-X)补(3)Y符号任意:(XY)补=X补(0.Y1Y2Yn)+(-X)补Y0,符号位,(4)展开为部分积的累加和形式:(XY)补=X补(0.Y1Y2Yn)+(-X)补Y0,=X补(0.Y1Y2Yn)-X补Y0,Yn+1,比较法:用相邻两位乘数比较的结果决定+X补、-

13、X补或+0。,特点:现在所获得的新乘数的各位是原来乘数相邻两位相减的 结果,相减的结果就是相邻两位比较的结果,我们把这 种乘法称为比较法。,比较法说明:,1.跟原码一样,每次累加和也都要右移,所以乘数各位都要依次移至Yn和Yn+1,因此我们把Yn和Yn+1作为判断位。2.符号位累加之后不再移位。即当乘数尾数最高位和符号位进行比较之后呢,仅仅根据比较的结果来对原来得到的乘积(累加和)进行修正,而不再右移。,2.比较法算法Yn(高位)Yn+1(低位)操作(A补为部分积累加和),0 00 11 01 1,1/2A补 1/2(A补+X补)1/2(A补-X补)1/2A补,(0),(1),(-1),(0)

14、,3.运算实例X=-0.1101,Y=-0.1011,求(XY)补。初值:A=00.0000,B=X补=11.0011,-B=(-X)补=00.1101,C=Y补=1.0101,步数 条件 操作 A C,00.0000 1.0101,1),1 0,-B,Cn,+00.1101,00.1101,00.0110,11.0101,2),0 1,+B,+11.0011,11.1001,11.1100,111.010,3),1 0,-B,+00.1101,00.1001,00.0100,1111.01,4),0 1,+B,+11.0011,11.0111,11.1011,11111.0,0,Cn+1,C

15、nCn+1,5),1 0,-B,+00.1101,(XY)补=0.10001111,4),0 1,+B,+11.0011,11.0111,11.1011,11111.0,5),1 0,-B,+00.1101,00.1000,1111,修正,(1)A、B取双符号位,符号参加运算;(2)C取单符号位,符号参加移位,以决定最后是否 修正;(3)C末位设置附加位Cn+1,初值为0,CnCn+1组成判 断位,决定运算操作;(4)作n步循环,若需作第n+1步,则不移位,仅修正。,4.运算规则,1.0:-B修正0.1:+B修正0.0:不修正1.1:不修正,3.3 定点除法运算,例.0.101100.1111

16、1,0.10110,1101,0.,0,1,11111,0.11111,0,0,0,1,11111,10101,0,1,11111,1011,0,0,.,00000,.,0.,商:0.10110余数:0.101102,实现除法的关键:比较余数、除数绝对值大小,以决定上商。,3.3.1 原码恢复余数法1.算法 比较两数大小可用减法试探。,2余数-除数=新余数,为正:够减,商1。为负:不够减,商0,恢复原余数。,2.实例,X=-0.10110,Y=0.11111,求X/Y,给出商Q和余数R,设置:A:被除数、余数,B:除数,C:商,初值:A=X=00.10110,B=Y=00.11111,C=Q=

17、0.00000,-B=11.00001,比较余数和除数大小,通过减法试探实现算法:将余数左移之后,再和除数进行绝对值比较,根据得到 的新的余数来判断是否够减以决定上商。,步数 条件 操作 A C,00.10110 0.00000,1),0,-B,01.01100,+11.00001,00.01101,0.00001,2),1,-B,00.11010,+11.00001,11.11011,0.00010,3),恢复余数,+B,+00.11111,00.11010,01.10100,0.00101,4),0,-B,+11.00001,00.10101,Cn,SA,Q1,Q2,Q3,r0,2r0,r

18、1,2r1,r2,r2,2r2,r3,步数 条件 操作 A C,00.10101 0.00101,5),0,-B,01.01010,+11.00001,00.01011,0.01011,6),1,-B,00.10110,+11.00001,11.10111,0.10110,7),恢复余数,+B,+00.11111,00.10110,Q=-0.10110,Cn,Q4,Q5,Q3,r3,2r3,r4,2r4,r5,r5,3.说明,3.3.2 原码不恢复余数法(加减交替法)1.算法分析第二步:2r1-B=r20第三步:r2+B=r2(恢复余数)第四步:2r2-B=r3,2r2-B=2(r2+B)-B

19、=2r2+B=r3,第二步:2r1-B=r20第三步:2r2+B=r3(不恢复余数)凡是新的余数小于0,下一步就将它左移之后加上除数;凡是新的余数大于0,下一步就将它左移之后减去除数。,缺点:在运算的过程中,每一步得到的余数是负数表示不够减,那么下一步就要恢复余数,而不够减的次数是不确定的,是随机的,所以不好安排时序。只要不够减就要恢复余数,所以操作步数比较多,这样浪费运算时间。,2.算法 ri+1=2ri+(1-2Qi)Y,ri为正,则Qi为1,第i+1步作2ri-Y;ri为负,则Qi为0,第i+1步作2ri+Y。,3.实例,X=0.10110,Y=-0.11111,求X/Y,给出商Q和余数

20、R。,初值:A=X=00.10110,B=Y=00.11111,C=Q=0.00000,-B=11.00001,步数 条件 操作 A C,00.10110 0.00000,1),为正,-B,01.01100,+11.00001,00.01101,0.00001,2),为负,-B,00.11010,+11.00001,11.11011,0.00010,3),+B,+00.11111,11.10110,0.00101,为正,00.10101,Cn,r,Q1,Q2,Q3,r0,2r0,r1,2r1,r2,2r2,r3,4),为正,-B,01.01010,+11.00001,00.01011,0.01

21、011,Q4,2r3,r4,步数 条件 操作 A C,00.01011 0.01011,6),为负,恢复余数,+B,+00.11111,00.10110,Q=-0.10110,Cn,Q4,r4,5),为正,-B,00.10110,+11.00001,11.10111,0.10110,Q5,2r4,r5,r5,4.运算规则,(1)A、B取双符号位,X、Y取绝对值运算,X Y。(2)根据余数的正负决定商值及下一步操作。(3)求n位商,作n步操作;若第n步余数为负,则第n+1步恢复余数,不移位。,3.3.3 补码不恢复余数法(加减交替法)如何判断是否够减?,如何上商?,如何确定商符?,1.判够减,(

22、1)同号相除,1,0,1,0,够减,不够减,够减,不够减,够减:r与X、Y同号;,不够减:r与X、Y异号。,(2)异号相除,1,0,1,0,够减,够减,不够减,不够减,够减:r与X同号,与Y异号;,不够减:r与X异号,与Y同号。,总结:余数与被除数关系要简单一点,不论同号相除还是异号相除,只要够 减,那么余数和被除数都是同号的;不够减,余数和被除数都是异号的。但是,被除数是放在A寄存器中的(作为初始余数),每次运算之后都要用新的余数来代替原来旧的余数,所以A寄存器的内容是变化的,不好做比较。除数Y放在B寄存器,不会变化,所以我们就可以用余数和除数进行比较,但是复杂一点。,(3)判断规则,同号:

23、作X补-Y补,X补,Y补,够减:r补与Y补同号,不够减:r补与Y补异号,异号:作X补+Y补,够减:r补与Y补异号,不够减:r补与Y补同号,2.求商值,同号:商为正,异号:商为负,够减商1不够减商0,够减商0不够减商1,(r、Y同号)(r、Y异号)(r、Y异号)(r、Y同号),够减商1不够减商0,够减商0不够减商1,(r、Y同号)(r、Y异号)(r、Y异号)(r、Y同号),(r、Y同号)(r、Y异号)(r、Y异号)(r、Y同号),够减商1不够减商0,够减商0不够减商1,上商规则:,余数与除数同号商1,异号商0。,规律:不管是同号相除商为正,还是异号相除商为负,只要商1那么 余数和除数的关系是同号

24、;不管是够减还是不够减,只要商 0,余数和除数都是异号。,3.算法(ri+1)补=2ri补+(1-2Qi补)Y补,ri补与Y补同号,则Qi补为1,第i+1步作2ri补-Y补;ri补与Y补异号,则Qi补为0,第i+1步作2ri补+Y补。,4.求商符,令X补=r0补,r0补与Y补,同号:Q0补=1异号:Q0补=0,与实际商符相反,商符,5.商的校正,商,余数,(1)求n-1位商,(假商),(2)第n位商(末位商)恒置1,(3)商符变反,(4)余数求至rn,6.实例,X=0.10110,Y=-0.11111,求X/Y,给出商Q和余数R。,初值:A=X补=00.10110,B=Y补=11.00001,

25、C=Q补=0.00000,-B=00.11111,步数 条件 操作 A C,00.10110 0.0000,1),异号,+B,01.01100,+11.00001,00.01101,0.0000,2),同号,+B,00.11010,+11.00001,11.11011,0.0001,Cn-1,r、Y,Q1,Q2,r0,2r0,r1,2r1,r2,求商符,Q0,异号,0,5),+B,+11.00001,00.10110,11.10111,步数 条件 操作 A C,11.11011 0.0001,3),异号,-B,11.10110,+00.11111,00.10101,0.0010,4),异号,+

26、B,01.01010,+11.00001,00.01011,0.0100,Cn-1,r、Y,Q3,Q2,r2,2r2,r3,2r3,r4,2r4,r5,假商=0.0100,Q4,真商=0.0100+1.00001=1.01001,Q=-0.10111 R=-0.010012,-5,7.运算规则,(1)A、B取双符号位,符号参加运算,并且 X Y。(2)根据余数与除数的符号决定商值及下一 步操作。(3)求n-1位商,作n步操作(求出rn)。(4)对商校正(商符变反,第n位商恒置1)。,第四节 浮点四则运算,浮点数真值:S=+RJWR:阶码底,隐含约定。J:阶码,为定点整数,补码或移码表示。其位数

27、决定数值范围;阶符表示数的大小。W:尾数,为定点小数,原码或补码表示。其位数决定数的精度;数符表示数的正负。,3.4.1 浮点加减运算步骤:1.检测能否简化操作。,判操作数是否为0,尾数为0阶码下溢,2.对阶例.,10.0 1,(1)对阶:使两数阶码相等(小数点实际位置对齐,尾数对应权值相同)。,(2)对阶规则:小阶向大阶对齐。,11 0.1,010.01,110.1,3.尾数加减.,(1)1.0001+0.1001,(4)阶码比较:比较线路或减法。,(3)对阶操作:小阶阶码增大,尾数右移。例.AJBJ,则BJ+1 BJ,BW,直到BJ=AJ,1.1010,(2)0.0101+0.1101,A

28、W+BW AW,4.结果规格化,1.0010,应左移规格化,应右移规格化,若 Af1Af2=1,则右规:,(1)11.0001+00.1001,11.1010,(2)00.0101+00.1101,01.0010,(-1/2除外),Af1Af2 A1,11.1010,01.0010,Af1Af2,3.4.2 浮点乘法运算,步骤:,1.检测操作数是否为0。2.阶码相加。若阶码用移码表示,相加后要修正。,3.尾数相乘。,相乘前不需对阶。,4.结果规格化。,一般左规。,例:现有两个浮点数x和y,他们的真值分别为x=0.100101 26和y=-0.101011 2-4。请计算xy,要求分别写出运算结

29、果的浮点数代码和十进制真值。其中阶码6位(含1位阶符),补码表示,以2为底;尾数7位(含1位阶符),补码表示。,3.4.3 浮点除法运算,步骤:,1.检测操作数是否为0。2.AM BM?,4.尾数相除。,相除前不需对阶。,5.结果不再规格化。,3.阶码相减。若阶码用移码表示,相减后要修正。,例:现有两个浮点数x和y,设x、y的阶码为补码形式,尾数为原码形式。x的阶码为0,010,尾数为0.1010;y的阶码1,111,尾数为0.1001。用浮点运算方法计算:x/y(阶码用补码加/减法,尾数用原码加减交替法)。,3.5.1加法单元的设计,1.加法单元的逻辑框图,2.加法单元的逻辑电路图,FA,S

30、i,Ai,Bi,Ci,Ci1,Ai:被加数的第 i 位Bi:加数的第 i 位Ci1:低位产生的进位Si:本位和Ci:向高位产生的进位,1,&,Ci,Si,Ai,Bi,Ci1,真值表,逻辑表达式 SiAiBiCi-1 CiAiBi(AiBi)Ci-1,3.5.2加法器及其进位链结构,1.串行进位(行波进位),FA,FA,FA,FA,S16,S15,S2,S1,A16,A15,A2,A1,B16,B15,B2,B1,C16,C15,C14,C2,C1,C0,串行进位的并行加法器,把n个全加器串接起来,可以进行两个n位数的相加。串行进位又称为行波进位,每一级进位依赖于前一级的进位,即进位信号是逐级形

31、成的。,加法器有串行加法器和并行加法器两种。串行加法器中,只有一个全加器,数据逐位串行送入加法器运算。并行加法器由多个全加器构成,位数取决于机器字长,数据各位同时运算。,3.5.2加法器及其进位链结构,2.组内并行、组间串行进位,1,&,&,1,1,1,&,&,&,&,&,&,&,C1,C2,C3,C4,C0,&,&,&,&,A1,B1,A2,B2,A3,B3,A4,B4,G4,P4,G3,P3,G2,P2,G1,P1,小组进位信号的逻辑表达式,已知CiAiBi(AiBi)Ci-1,令GiAiBi,PiAiBi,则有CiGiPiCi-1,其中Gi是进位产生函数,Pi是进位传递函数。对于第一小组

32、,有C1G1P1C0C2G2P2C1C3G3P3C2C4G4P4C3,经变换得,C1=G1+P1C0C2=G2+P2C1=G2+P2G1+P2P1C0C3=G3+P3C2=G3+P3G2+P3P2G1+P3P2P1C0C4=G4+P4C3=G4+P4G3+P4P3G2+P4P3P2G1+P4P3P2P1C0,小组进位信号的逻辑表达式,所以对于四个小组,分别有C4G4P4G3P4P3G2P4P3P2G1P4P3P2P1C0C8G8P8G7P8P7G6P8P7P6G5P8P7P6P5C4C12G12P12G11P12P11G10P12P11P10G9P12P11P10P9C8C16G16P16G1

33、5P16P15G14P16P15P14G13P16P15P14P13C12,估算加法时间,若不考虑Gi、Pi形成时间,从C0Cn的最长延迟时间是2mty,其中m为分组的组数。对于本例采用组内并行、组间串行进位链结构的加法器完成一次加法运算的时间为:从C0C16 42ty=8ty,3.5.2加法器及其进位链结构,3.组内并行、组间并行进位组内并行进位链,1,&,&,1,1,1,&,&,&,&,&,&,&,C1,C2,C3,G1*,C0,&,P1*,G4,P4,G3,P3,G2,P2,G1,P1,3.5.2加法器及其进位链结构,3.组内并行、组间并行进位组间并行进位链,1,&,&,1,1,1,&,

34、&,&,&,&,&,&,C4,C8,C12,C16,C0,G4*,P4*,G3*,P3*,G2*,P2*,G1*,P1*,组间并行进位链的逻辑表达式,假定G1*G4P4G3P4P3G2P4P3P2G1 G2*G8P8G7P8P7G6P8P7P6G5 G3*G12P12G11P12P11G10P12P11P10G9 G4*G16P16G15P16P15G14P16P15P14G13P1*P4P3P2P1P2*P8P7P6P5P3*P12P11P10P9P4*P16P15P14P13,Gi*为组进位产生函数Pi*为组进位传递函数,组间并行进位链的逻辑表达式,对于上述四个小组,有C4G1*P1*C0

35、C8 G2*P2*C4 C12 G3*P3*C8C16 G4*P4*C12将上面的四个逻辑表达式逐级展开,有C16 G4*P4*G3*P4*P3*G2*P4*P3*P2*G1*P4*P3*P2*P1*C0,估算加法时间,若不考虑Gi、Pi形成时间,C0经过 2ty,产生第1小组的 C1、C2、C3及所有组进位产生函数Gi*和组进位传递函数Pi*;再经过2ty产生C4、C8、C12、C16;再经过2ty后,才能产生第2、3、4小组内的C5C7、C9C11、C13C15。则采用组内并行、组间并行进位链结构的加法器完成一次加法运算的时间为:总的运算时间为:2ty+2ty+2ty6ty,为产生组进位函

36、数第1小组内产生G1*、P1*、C3、C2、C1,不产生C4第2小组内产生G2*、P2*、C7、C6、C5,不产生C8第3小组内产生G3*、P3*、C11、C10、C9,不产生C12第4小组内产生G4*、P4*、C15、C14、C13,不产生C16,3.5.3算术逻辑运算部件(ALU),1.SN74181和SN74182芯片,SN74181,Cn,S0,S1,S2,S3,M,Cn+4,AB,G,P,SN74182,Cn,G*,P*,F3,F2,F1,F0,A3,B3,A2,B2,A1,B1,A0,B0,Cn+x,Cn+y,Cn+z,P0,G0,P1,G1,P2,G2,P3,G3,(1).741

37、81引脚说明,A0A3:操作数A(输入)B0B3:操作数B(输入)F0F3:运算结果F(输出)Cn:低位送来的进位信号(输入)Cn+4:向高位产生的进位信号(输出)M、S0S3:工作方式选择(输入)G:小组进位产生函数(输出)P:小组进位传递函数(输出)AB:符合比较(输出),(2).74181功能表,0000000100100011010001010110011110001001101010111100110111101111,A减1AB减1AB减1减1A加(A+B)AB加(A+B)A减B减1A+BA加(A+B)A加BAB加(A+B)A+BA加AAB加AAB加AA,AA+BAB逻辑0ABBA

38、BABA+BABBAB逻辑1A+BA+BA,AABA+B逻辑1A+BBABA+BABABBA+B逻辑0ABABA,AA+BA+B减1A加AB(A+B)加ABA减B减1AB减1A加ABA加B(A+B)加ABAB减1A加A(A+B)加A(A+B)加AA减1,S3S2S1S0,逻辑运算(M=1),逻辑运算(M=1),算术运算(M=0),算术运算(M=0),负逻辑,正逻辑,(3).74182引脚说明,G1G4:小组的进位产生函数(输入),来自74181 的输出P1P4:小组的进位传递函数(输入),来自74181 的输出Cn:低位送来的进位信号(输入)Cn+x、Cn+y、Cn+z:向高位产生的进位信号(

39、输出)G:大组的进位产生函数(输出)P:大组的进位传递函数(输出),3.5.3算术逻辑运算部件(ALU),2.16位串行进位ALU,SN74181(4),SN74181(3),SN74181(2),SN74181(1),F16,F15,F14,F13,F12,F11,F10,F9,F8,F7,F6,F5,F4,F3,F2,F1,A16,A15,A14,A13,A12,A11,A10,A9,A8,A7,A6,A5,A4,A3,A2,A1,B16,B15,B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1,C0,C4,C8,C12,C16,3.5.3算术逻辑运算部件(ALU),3.16位并行进位ALU,SN74181(4),SN74181(3),SN74181(2),SN74181(1),F16,F15,F14,F13,F12,F11,F10,F9,F8,F7,F6,F5,F4,F3,F2,F1,A16,A15,A14,A13,A12,A11,A10,A9,A8,A7,A6,A5,A4,A3,A2,A1,B16,B15,B14,B13,B12,B11,B10,B9,B8,B7,B6,B5,B4,B3,B2,B1,C0,C4,C8,C12,C16,SN74182,G4*,P4*,G3*,P3*,G2*,P2*,G1*,P1*,G,P,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号