874380897基于单片机的多路数据采集系统设计毕业设计.doc

上传人:仙人指路1688 文档编号:3931146 上传时间:2023-03-28 格式:DOC 页数:51 大小:1.85MB
返回 下载 相关 举报
874380897基于单片机的多路数据采集系统设计毕业设计.doc_第1页
第1页 / 共51页
874380897基于单片机的多路数据采集系统设计毕业设计.doc_第2页
第2页 / 共51页
874380897基于单片机的多路数据采集系统设计毕业设计.doc_第3页
第3页 / 共51页
874380897基于单片机的多路数据采集系统设计毕业设计.doc_第4页
第4页 / 共51页
874380897基于单片机的多路数据采集系统设计毕业设计.doc_第5页
第5页 / 共51页
点击查看更多>>
资源描述

《874380897基于单片机的多路数据采集系统设计毕业设计.doc》由会员分享,可在线阅读,更多相关《874380897基于单片机的多路数据采集系统设计毕业设计.doc(51页珍藏版)》请在三一办公上搜索。

1、摘 要数据采集系统作为沟通模拟域与数字域的必不可少的桥梁有着非常重要的作用。本文介绍的重点是数据采集系统。数据采集与通信控制采用了模块化的设计,数据采集与通信控制采用了单片机8051来实现,硬件部分是以单片机为核心,还包括模-数转换模块,显示模块,和串行接口部分,还有一些简单的外围电路。8路被测电压通过通用ADC0809模-数转换,实现对采集到的数据进行模拟量到数字量的转换,由单片机对数据进行处理,用LCD显示模块来显示所采集的结果,并将数据通过串行口传输到PC机上,MCU与 PC机间的电平匹配采用MAX232接口芯片,由PC机完成数据接收和显示,VB程序编写了更加人性化的人机交互界面。关键词

2、:单片机,LCD,模数转换,串行传输ABSTRACT KEY WORDS:前 言在各种测控系统中,往往需要对一些参数进行测量并送回计算机进行监控及处理,因此多路数据采集系统被广泛应用于各种测控场合。单片机作为微型计算机的一个重要分支,应用广泛,对人类社会产生了巨大的影响。Intel公司生产的51系列单片机,由于具有集程度高、处理功能强、可靠性好、系统结构简单、价格低廉、易于使用等优点。在我国已经得到了广泛应用。在智能仪器仪表、工业检测控制、电力电子、机电一体化方面取得了瞩目的成就。数据采集系统作为沟通模拟域与数字域的必不可少的桥梁地位十分重要。基于单片机的数据采集系统完全能够满足各种测控系统需

3、要,实时性好,应用前景广阔。对于本设计而言,其主要任务就是将05伏的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,选用了市面上比较常见的逐次逼近型ADC0809芯片,其转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理数字量。考虑到本系统是采用5伏电池供电,选用了低功耗的LCD显示器件,以满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设置了锁键功能,在键盘的输入的消抖方面采用了软件消抖的方法,以降低硬件的开销,来提高系统的抗干扰能力。软件设计方面,采用了功能模块化的设

4、计思想;键盘模数转换等采用了中断的方式来实现,大大提高了单片机的效率,实时处理能力。在上位机PC端运用了可视化编程语言VB,界面简单明了,人机交互性界面好。第一章 课题分析与方案论证1.1数据采集系统在任何计算机测控系统中,都是从尽量快速,尽量准确,尽量完整的获得数字形式的数据开始的,因此,数据采集系统作为沟通模拟域与数字域的桥梁起着非常重要的作用。70年代初,随着计算机技术及大规模集成电路的发展,特别是微处理器及高速A/D转换器的出现,数据采集系统结构发生了重大变革。原来由小规模集成的数字逻辑电路及硬件程序控制器组成的采集系统被微处理器控制的采集系统所代替。因为由微处理器去完成程序控制,数据

5、处理及大部分逻辑操作,使系统的灵活性和可靠性大大的提高,系统的硬件成本和系统的重建费用大大的降低。数据采集系统一般由信号调理电路,多路切换电路,采样保持电路,A/D,单片机组成。1.1.1信号调理电路 调理与放大电路:包括:阻抗匹配、放大电路、隔离电路、滤波等电路。(1)阻抗匹配:放大电路与传感器之间往往存在阻抗不匹配的现象,信号要进入A/D转换器也存在阻抗匹配问题,阻抗不匹配会使信号在传输过程中严重畸变,导致严重检测误差,条例过程中必须十分注意阻抗匹配问题,一般阻抗匹配可以由运算放大器组成的跟随器完成。(2)信号放大电路:是信号调理电路的核心,一般传感器输出的物理信号量值很小,需要通过放大调

6、理电路来增加分辨率和敏感性,将输入信号放大为A/D转换所需要的电压范围,为了获得尽可能高的精度,应将输入信号放大至与ADC量程相当的程度。(3)信号隔离电路:隔离是指使用变压器、光电耦合或电容耦合等方法在被测系统中与测试系统之间传输信号,避免直流的电流或电压的物理连接的一种手段。(a)数据采集系统所监测的设备可能会有高压瞬变现象,足以使计算机与数据采集板损坏,隔离可使传感器信号与计算机隔离开,使系统安全得到保障。(b)保证数据采集各个环节间不受地电位或共态电压差异的影响,从而影响测试精度,这是因为在采集信号时,都需要以“地”为基准,如果在两“地”之间存在电位差,就可能导致地环路产生,从而导致所

7、采集的信号再现不准确,若这一电位差太大,可能危机测量系统的安全,利用隔离电路的信号模块可以消除地环路,并保证准确的采集信号。模拟信号的隔离比数子信号的隔离难度大的多,成本高,常用的方法是,采用线性光耦或两个特性几乎完全接近的普通光耦用特殊的电路实现,另外,直接采用具有隔离作用的仪表放大器也行。(4)信号滤波:几乎所有的数据采集系统都会不同程度的受到来自电源线或机械设备的50Hz噪声干扰,因此大多数信号调理电路包含低通滤波器,最大限度的剔除50Hz或60Hz的噪声。交流信号(如振动)则往往需要防混淆滤波器,防混淆滤波器是一种低通滤波器,具有非常陡峭的截止频率,几乎可以将频率高于采集板输入信号带宽

8、的信号全部剔除;若不除去,这些信号将会错误的显示为数据采集系统输入带宽内的信号。1.1.2 多路切换电路通常被检测的物理量有很多个,如果每一通道都要有放大和ADC几个环节就很不经济。而且电路也复杂。采用模拟多路开关就可以使多个通路共用一个放大器和ADC,采用时间分割法使几个模拟开关通道轮流接通。这样既经济,又使电路简单。模拟多路开关的选择主要考虑导通电阻的要求,截止电阻的要求和速度要求。常用的模拟多路开关有CD4501,CD4066,AD7501,AD7507等。为降低截止通道的负载影响,提高开关速度,降低通道串扰,采用多级模拟多路开关来完成通道切换。1.1.3加采样保持电路的原则一般情况下直

9、流和变化非常缓慢的信号可以不用采样保持电路.其他情况都要加采样保持电路。1.1.4 模-数转换器(ADC)ADC是计算机同外界交换信息所必须的接口器件,它需要考虑的指标有:分辨率,转换时间,精度,电源,输入电压范围等。1.1.5基本微处理系统单片机构成了基本的微处理系统,它完成系统数据读取,处理及逻辑控制,数据传输任务等。选择MSC-51系列8051单片机。完成任务书所要求的功能的系统框图如下图1-1-5所示 图1-1-5系统框图1.2方案论证1.2.1 模数转换的选择A/D转换器的种类很多,就位数来分,有8位,10位,12位和16位等。位数越高分辨率就越高,价格也就越贵。A/D转换器的型号很

10、多,在精度和转换速度上差异很大。(a)双积分A/D转换器:双积分式是一种间接式A/D转换器,优点是转换精度高,速度快缺点是转换时间长,一般要4050ms,适用于转换速度不快的场合。(b)逐次逼近式A/D转换器:逐次逼近式的属于直接式A/D转换器,转换精度高,速度高,价格适中,是目前种类最多,应用最广的A/D转换器,典型的8位模数转换器有ADC0809。 鉴于方案(b)的换速度比方案(a)快,价格适中,种类繁多,应用广泛,在本设计中采用ADC0809。1.2.2.8051的选择单片微机是属于Embedded System(嵌入系统),此系统的是把CPU 加上一些少量的内存和输出入组件(I/O),

11、都嵌入在一颗芯片内,再使用特定的组译和编译软件编辑程序,利用烧录器把程序储存到单芯片,如此加上一些简单的周边电路,即可变成一个控制系统,所以单芯片又称为微控制器在本设计中选用Intel8051其中内部已内建程序存储器ROM,不必再去外扩程序存储器,使用更加方便。1.2.3 串行接口器件的选择(a)PC机配有标准RS-232接口,单片机输出的是TTL电平。因此,单片机与PC机之间通讯时,需要电平转换。RS-232电平转换芯片有MC1489,MC1488。MC1488内有三个与非门和一个相反器,供电电压为+15V-15V或者+12V-12V,输入为TTL电平,输出为RS-232电平。MC1489内

12、部有四个相反器,输入为RS232电平,输出为TTL电平。 (b)采用MAX232作为单片机与PC之间通讯的桥梁。MAX232的优点是:一片芯片可以完成发送转换和接收转换的双重功能。 单一电源+5V供电。方案(a)中的MC1488和MC1489,完成电平转换时电路设计麻烦,比较容易出现错误,而且功能不如MAX232齐全。所以选择方案(b)中的MAX232作为电平转换的芯片,它不仅在功能上能满足本系统的要求,且电路设计与连接比较简单。1.2.4 显示部分LCD是一种被动式的显示器,即液晶本身并不发光,而是利用液晶经过处理后能改变光线通过方向的特性,而实现显示的目的。液晶显示器具有功耗低、抗干扰能力

13、强等优点被广泛应用在各种仪器仪表和控制系统中。现在市面上的LCD显示器多以模块化形式出现,模块由LCD控制器、LCD驱动器、LCD显示装置三部分组成,它与CPU之间是通过LCD控制器直接进行沟通的,使用方便。所以在本系统中采用低功耗的SDEC厂生产的2A16DRG LCD第二章 硬件设计2.1 模数转换器ADC08092.1.1 模数转换器原理介绍在我们所测控的信号中均是连续变化的物理量,通常需要用计算机对这些信号进行处理,则需要将其转换成数字量,A/D转换器就是为了将连续变化的模拟量转换成计算机能接受的数字量。根据A/D转换器的工作原理,常用的A/D转换器可分为两种,双积分式A/D转换器和逐

14、次逼近式A/D转换器。(1)双积分A/D转换器工作原理双积分A/D转换器采用间接测量的方法,它将被测电压转换成时间常数T,其工作原理如图 所示,双积分A/D转换器由电子开关,积分器,比较器,计数器和控制逻辑等部分组成。比较器VxVref标准时钟计数器控制逻辑电子开 关数据输出所谓双积分就是进行一次A/D转换需要两次积分。电路先对被测的输入电压Vx进行固定时间(T0)的正向积分,然后控制逻辑将积分器的输入端通过电子开关接参考电压Vr,由于参考电压与输入电压反向且参考电压值是恒定的,所以反向积分的斜率是固定的,从反向积分开始到结束,对参考电压进行反向积分的时间T,正比于输入电压。图2-1-1(a)

15、双积分型A/D转换器的电路原理图图2-1-1(b) 两次积分的输出曲线如图2-1-1(b)所示,输入电压越大反向积分时间越长,用高频标准脉冲计数测此时间,即可得到相应于输入电压的数字量。特点:可以有效的消除干扰和电源噪声,转换精度高,但是转换速度慢。(2)逐次逼近型A/D转换器逐次逼近型A/D转换器由D/A转换环节,比较环节和控制逻辑等几部分组成。图2-1-1(b)是逐次逼近型A/D转换器的电路原理图,其转换原理为:A/D转换器将一待转换的模拟输入电压Ui与一个预先设定的电压Ui(预定的电压由逐次逼近型A/D转换器中的D/A输出获得)电压相比较,根据预设的电压Ui是大于还是小于待转换成的模拟输

16、入电压Uin来决定当前转换的数字量是“0” 还是“1”,据此逐位比较,以便使转换结果(相应的数字量)逐渐与模拟输入电压相对应的数字量接近。“预设的电压”值的算发如下:使逐次逼近型A/D转换器中的D/A的各位二进制数从最高位起依次置1,每变化一位就得到一个预设的电压Ui并使之与待转换的模拟输入电压Ui进行比较,若模拟输入电压Ui小于预设的电压Ui,则使比较器中相应的位为0,若模拟输入电压Ui大于预设的电压Ui,则使比较器中相应的输出位为1,无论哪钟情况,均应继续比较下一位,直到最低位为止,此时逐次逼近型A/D转换器中的D/A的数字输入即为对应模拟输入信号的数字量,将此数字量输出就完成了A/D的转

17、换过程。2.1.2ADC0809模数转换器的选择逐次逼近式的属于直接式A/D转换器,转换精度高,速度高,价格适中,是目前种类最多,应用最广的A/D转换器,典型的8位模数转换器有ADC0809图2-1-2(a) ADC0809内部结构ADC0809采用工艺,片内带有锁存功能及8路模拟多路开关,可对8路05伏的输入模拟电压信号分时进行转换,完成一次转换约100微秒;片内具有多路开关的地址译码器和锁存电路,高阻抗斩波器及稳定的比较器,256R电阻T型网络和树状电子开关以及逐次逼近寄存器,输出具有三态锁存缓冲器,可直接接到单片机的数据总线上。(1)ADC0809的介绍ADC0809是8位逐次逼近式AD

18、转换器,具有8个模拟量输入通道,最大不可调误差小于1LSB,典型时钟频率为640kHz,每通道的转换时间约为100s。ADC0809没有内部时钟,必须由外部提供,其范围为101280kHz。 (2)引脚排列及各引脚的功能IN0IN7:8个通道的模拟量输入端。可输入05V待转换的模拟电压。D0D7:8位转换结果输出端。三态输出,D7是最高位,D0是最低位。A、B、C:通道选择端。当CBA=000时,IN0输入;当CBA=111时,IN7输入。ALE:地址锁存信号输入端。该信号在上升沿处把A、B、C的状态锁存到内部的多路开关地址锁存器中,从而选通8路模拟信号中的某一路。 START:启动转换信号输

19、入端。从START端输入一个正脉冲,其下跳沿启动ADC0809开始转换。脉冲宽度应不小于100200ns。EOC:转换结束信号输出端。当EOC为 图2-1-2(b)ADC0809引脚图高电平时表示转换结束,启动A/D转换时它自动变为低电平。OE:输出允许端。OE为低电平时,D0D7为高阻状态,OE为高电平时,允许转换结果输出。CLK:时钟输入端。ADC0809的典型时钟频率为640kHz,转换时间约为100s。REF(-)、REF(+):参考电压输入端。ADC0809的参考电压为5V。VCC、GND:供电电源端。ADC0809使用5V单一电源供电。 (3)A/D转换器的位数决定着信号采集的精度

20、和分辨率。对于8通道的输入信号,要求分辨率为0.5%。8位的A/D转换器,其精度为:输入为05V时,分辨率为A/D转换器的满量程值 ADC二进制位数量化误差为2.1.3ADC0809时序图及其接口电路从时序图2-1-3 可以看出,地址锁存信号ALE在上升沿将三位通道地址锁存,相应通道的模拟量经过多路模拟开关送到A/D转换器。启动信号START上升沿复位内部电路,START的下降沿启动转换,此时转换结束信号EOC呈低电平状态,由于逐位逼近需要一定过程,所以,在此期间模拟输入量应维持不变,比较器要一次次比较,直到转换结束,此时变为高电平。若CPU发出输出允许信号OE(输出允许为高电平),则可读出数

21、据,其有较高的转换速度精度,及受温度影响小。图2-1-3 ADC0809时序图从时序图2-1-3 可以看出,地址锁存信号ALE在上升沿将三位通道地址锁存,相应通道的模拟量经过多路模拟开关送到A/D转换器。启动信号START上升沿复位内部电路,START的下降沿启动转换,此时转换结束信号EOC呈低电平状态,由于逐位逼近需要一定过程,所以,在此期间模拟输入量应维持不变,比较器要一次次比较,直到转换结束,此时变为高电平。若CPU发出输出允许信号OE(输出允许为高电平),则可读出数据,其有较高的转换速度精度,及受温度影响小。图2-1-4 ADC0809与8051单片机的接口电路ADC0809与MCS-

22、51系列单片机的接口方法接口电路如图2-1-4所示。地址信号:74LS373输出的低3位地址A2、A1、A0加到通道选择端A、B、C,作为通道编码。通道基本地址为0000H0007H。控制信号:8051的WR与P2.7经过或非门后接至0809的START及ALE引脚。8051的RD与P2.7经或非门后接至0809的OE端。ADC0809的EOC经反相后接到8051的P3.3(INT1)。2.2 键盘键盘在单片机系统中是一个重要部件。为了输入数据,查询和控制系统的工作状态,都要用到键盘,键盘是人工干预计算机的主要手段。在单片机控制系统中广泛使用的是机械键盘。按键的动作不是立刻就完成的,抖动是表现

23、在输入电压信号上。在理想的状态下按键引脚电平的变化如下图(a)曲线,但是在实际中按键在按下或放开的瞬间,由于机械触点存在弹跳现象,结果实际按键电压波形如图(b)曲线,即机械按键在按下和释放瞬间存在抖动现象,抖动时间的长短与按键的机械特性有关,一般在5-15ms之间而按键稳定闭合期长短与操作者按键的时间有关,从数百毫秒到数秒之间,为了保证按键由“按下”到“松手”之间仅视为一次输入或数据次输入,(对于具有重复输入功能的按键),必须在硬件或软件上增加去抖动措施,以避免一次按键输入一串数码。图2-2(a) 按键按下的输出电平 在消除抖动的不良后果有俩种方法:一种是硬件实现,一种是软件实现。(1)硬件消

24、抖是采用硬件电路的方法对键盘按下及释放抖动进行消抖,经过消抖电路使按键电路的输出电平信号只有两种稳定。状态,可以利用阻容滤波消抖电路,单稳态电路或触发器消除抖动现象。(2)软件上实现,可以用软件延迟的方式来解决抖动的问题。在我设计的基于单片机的多路数据采集系统中,将采用软件延迟的方法来解决抖动问题,这样可以降低硬件成本。图2-2(b)键盘硬件电路连接2.3单片机与PC机间的互连电路2.3.1串口通讯RS-232-C介绍目前串行通信接口标准都是在RS-232标准的基础上经过改进而形成的。RS-323C标准是美国EIA(电子工业联合会)与BELL等公司一起开发的1969年公布的通信协议。它适合于数

25、据传输速率在020000b/s范围内的通信。这个标准对串行通信接口如信号线功能、电器特性都作了明确规定。由于通行设备厂商都生产与RS-232C制式兼容的通信设备,因此,它作为一种标准,目前已在微机通信接口中广泛采用。 1.电气特性EIA-RS-232C对电器特性、逻辑电平和各种信号线功能都作了规定。在TxD和RxD上:逻辑1(MARK)=-3V-15V逻辑0(SPACE)=+315V在RTS、CTS、DSR、DTR和DCD等控制线上:信号有效(接通,ON状态,正电压)+3V+15V信号无效(断开,OFF状态,负电压)=-3V-15V以上规定说明了RS-323C标准对逻辑电平的定义。对于数据(信

26、息码):逻辑“1”(传号)的电平低于-3V,逻辑“0”(空号)的电平告语+3V;对于控制信号;接通状态(ON)即信号有效的电平高于+3V,断开状态(OFF)即信号无效的电平低于-3V,也就是当传输电平的绝对值大于3V时,电路可以有效地检查出来,介于-3+3V之间的电压无意义,低于-15V或高于+15V的电压也认为无意义,因此,实际工作时,应保证电平在(315)V之间。EIA-RS-232C与TTL转换:EIA-RS-232C是用正负电压来表示逻辑状态,与TTL以高低电平表示逻辑状态的规定不同。因此,为了能够同计算机接口或终端的TTL器件连接,必须在EIA-RS-232C与TTL电路之间进行电平

27、和逻辑关系的变换。实现这种变换的方法可用分立元件,也可用集成电路芯片。2、DB-9连接器DB-9连接器作为提供多功能I/O卡或主板上COM1和COM2两个串行接口的连接器。它只提供异步通信的9个信号。DB-9型连接器的引脚分配与DB-25型引脚信号完全不同。因此,若图2-3-1(a)与配接DB-25型连接器的DCE设备连接,必须使用专门的电缆线。电缆长度:在通信速率低于20kb/s时,RS-232C所直接连接的最大物理距离为15m(50英尺)。最大直接传输距离说明:RS-232C标准规定,若不使用MODEM,在码元畸变小于4%的情况下,DTE和DCE之间最大传输距离为15m(50英尺)。可见这

28、个最大的距离是在码元畸变小于4%的前提下给出的。为了保证码元畸变小于4%的要求,接口标准在电气特性中规定,驱动器的负载电容应小于2500pF 最简单连接图2-3-1(b)2.3.2单片机与MAX232的连接MAX232是一种双组驱动器/接收器MAX232芯片可完成TTLEIA双向电平转换,片内含有一个电容性电压发生器以便在单+5伏电压供电时,提供EIA/TIA-232-E电平。每个接收器将EIA/TIA-232-E电平转换为5伏TTL/CMOS电平。这些接收器具有1.3伏的典型门限值及0.5伏的典型迟滞,而且可以接收30伏输入。每个驱动器将TTL/CMOS输入电平转换为EIA/TIA-232-

29、E电平。所有的驱动器,接收器及电压发生器都可以在德州仪器公司的元件库中得到标准单元。MAX232的工作温度范围为0度至70度。图2-3-2为MAX232芯片的工作电路图。在实际应用中,器件对电源的噪声很敏感。四个电容取同样数值的电解电容1.0u/16V,用以提高抗干扰能力。在本设计中,可从MAX232芯片中两路发送接收器中选用一路作为接口,应注意发送与接收的对应。图2-3-2 MAX232的工作电路图2.4单片机复位电路设计图(A)上电复位电路图(B)带手动复位的电路图2-4单片机复位电路单片机的复位只要保证RST端为高电平有效时间大于24个振荡周期,单片机就能可靠复位。分析: (A) 二极管

30、D1的作用在于停电后,给电容 提供迅速的放电通道, 保证再次上电时RST为高电平,使单片机可靠复位,正常工作时,二极管D1反偏,对电路没有影响,而断电后,U逐渐下降,当U=0时,相当于U端与地等电位,这时电容C通过D1迅速放电,放电通路为C正极-电源U(与地等电位)-二极管D1正极-二极管负极-C负极,保证再上电时, RST为高电平(B)增加了手动复位按钮,避免死机时通过关机复位,复位按钮按下时,电容C通过R2放电,当电容C放电结束后,RST端的电位由R2, R1分压比决定,由于R2 R1,因此RST为高电平,单片机进入复位状态,松手后,电容C充电, RST端电位下降,单片机脱离复位状态, R

31、2的作用在于限制按钮按 下瞬间电容C的放电电流,避免产生火花,以保护按钮的处点。2.5数据显示部分2.5.1 LCD介绍LCD可以分为两种类型,一种是文字式LCD,一种是绘图模式LCD。市面上有各个不同品牌的文字显示型LCD,但决大多都是采用日立公司编号为HD44780A的驱动器。在本设计中采用SDEC的2A16DRG。 LCD的内部内存(1)固定字型ROM,称为CG ROMCG ROM内存储192个5*7点阵的字型,这些字型均以固定。(2)数据显示RAM,称为DD RAMDD RAM中用来存储写至LCD内部的字符,DD RAM的地址分布为00H到67H,分别代表的各列位置,例如:要将“A”写

32、入第2行的第1个位置,就先设置DD RAM地址为40H,而后写入41H至即可。(3)用户自定义字型RAM,称为CG RAM此区域只有64字节,可将用户自行设计的字型写入LCD中,一个字的大小为5*7点阵,共可以存储8个字型,其显示码为00 H到07 H。 LCD的控制方式通过来控制LCD模块,方式十分简单,LCD其内部可以看成有两组寄存器,一个为指令寄存器,一个为数据寄存器,由RS引脚来控制,所有对指令寄存器或数据寄存器的存取均需检查LCD内部的忙碌标志,此标志用来告知LCD内部正在工作,并不允许接收任何的控制命令。而此位的检查可以令RS=0时,读取7位来加以判断,当此位为0时,才可以写入指令

33、寄存器或数据寄存器。2.5.2 LCD接口设计LCD接口设计可以分为8位及4位控制方式,传统的控制方式是用8位D0D7数据线来传送控制命令及数据,而使用4位控制方式是使用D4D7数据线来传送控制命令及数据,如此一来单芯片的IO控制线便可以减少了,省下来的控制线可以做其他硬件的设计。使用4位数据线做控制时需分两次来传送,先送出高4位数据,再送出低4位数据。本系统中有关LCD的控制是使用这种方式来设计,以最少的控制线来驱动LCD接口。图2-5-2为4位控制电路,以8051 P0的4条与P2的2条输出控制线来实现控制,P0还接有提升电阻以增加其驱动能力,控制信号说明如下:(1)RW LCD读写控制线

34、直接接地,由于RW=0时,LCD执行写入的动作,RW=I时则执行读取的动作。因此简化设计后,则无法对LCD实现读取的动作。所有控制数据的写入需加入适当的延迟,以配合LCD内部控制信号的执行。(2)RS寄存器选择控制线由P2.0控制,当RS=0时,可以写入指令寄存器,如果RS=1则写入数据寄存器(3)EN起用控制线由P2.1控制,高电平动作。高电平时LCD动作起用有效。(4)VO亮度调整控制引脚直接接地使字符显示最亮。(5)D0D7 双向数据总线LCD数据读写方式以4位方式进行写入,只用到D7D4,分别由P0.7P0.4控制。图2-5-2 8051与LCD的接口电路第三章 软件设计3.1中断的介

35、绍及响应的过程CPU暂时中止其正在执行的程序,转去执行请求中断的外围设备或驱动事件的服务程序,等处理完毕后再返回执行原来中止的程序叫做中断CPU工作速度快,外围设备工作速度慢,形成CPU等待,效率降低。运用中断的方式后,不必花大量的时间去等待和查询外围设备的工作状态。在实时控制系统中,现场各种参数和状态的变化是随机变化的,要求CPU能做出快速响应、及时处理。有了中断系统,这些参数和状态的变化可以作为中断信号,使CPU中断。发生中断后, 图3-1-2中断响应过程 可以在相应的中断服务程序中及时处理这些参数和状态的变化。在本设计中,数据采集程序、键盘程序、串行数据传输都采用了中断的方式编写,提高了

36、其工作效率。3.2采用ADC0809中断方式的数据采集子程序8个通道转换完否?送 通 道 数 到 R2送0通道地址给DPTR置数据缓冲区首址置中断发生标志启动A/D转换返 回允许中断中 断 服 务 程 序读 端 口 数 据存 数 据数 据 缓 冲 区 地 址 加1返 回修 改 模 拟 量 通 道 地 址清 中 断 标 志a)主程序流程图 (b)中断服务程序流程图图3-2数据采集程序流程图SAMP: MOV R0,#30H ;数据缓冲区首址 MOV #8 ;8通道计数 MOV DPTR,#7FF8H ;指向通道0START:SETB F0 ;置中断发生标志MOVX DPTR,A ;启动A/D(P

37、2.7=0,WR=0)SETB IT0 ;置外部中断0为边沿触发SETB EX0 ;允许外部中断0SETB EA ;开中断 LOOP: JBF0, LOOP ;判发生中断标志1?DJNZ R2,START ;8个通道转换结束RETINTDATA:MOVX A,DPTR;读数据(P2.7=0,RD=0)硬件撤;销中断MOV R0,A ;存数据INC R0INC DPTR ;指向下一通道CLR F0 ;清中断标志RETI 3.3 标度变换 在计算机控制系统中,生产中的各个参数都有着不同的数值和量纲,所有这些都经过调理电路转换成A/D 转换器所能接收的05伏同一电压信号,又由A/D转换成00FFH(

38、8位)的数字量,为进一步显示记录等,必须把这些数字量转换成不同单位,以便操作人员对其进行检测管理,这就是所谓的标度变换。线性参数标度变换:指一次仪表测量值与转换结果具有线性关系或者一次仪表是线性刻度的。其标度变换公式为:A0一次测量仪表的下限Am一次测量仪表的上限Ax实际测量值 N0仪表下限对应的数字量Nm仪表上限对应的数字量Nx测量值对应的数字量3.4键盘处理程序在本设计中采用软件消除抖动的方法来解决干扰的问题根据按键的功能一般分为两种情况来处理:(a)对于每按键一次视为一次输入的设定来说,在稳定闭合期内,不断检测按键是否已释放,当发现按键被释放后,读出对应按键的编码(或称为键号)(b)对于

39、重要输入功能的键设定来说,每隔一定时间,如500毫秒(即按下某键不动,一秒内重复输入该键两次)对按键进行检测,发现按键依然被按下时,就输入该键,直到按键被释放。 键盘中断程序:INT1:CLREX1PUSH PSWPUSH ACCMOVA,P1ANLA,#0E7HJNB PSW.0,EXITMOV R0,#0HMOV R5,#1LCALL DELAYMOV A,P1MOV R5,#50JBP1.0,EX1JB P1.1,EX2JB P1.2,EX3EX1:INCR0MOVA,R0ADDA,18HMOVB,#8DIVABMOVR6,BMOV18H,R6LCALL DELAYJNBP1.0,EXI

40、TJMPEX1EX2:CLRCINCR0MOVA,18HSUBBA,R0JNCEXITDIVABMOVR6,BMOV18H,R6延 迟 消 抖完成通道号减1功能,并存入18H完成通道号加1功能,并存入18H恢 复 现 场开 中 断结 束转各功能子程序段初 始 化 键 盘完成锁键功能是双键否?YNYN中断服务子程序关 中 断保 护 现 场是否有键按下?LCALLDELAYJNBP1.1, EXITJMPEX2EX3:JB P1.2,EX3CPLP1.3EXIT:CLRIE1MOV P1,#18HPOP ACCPOP PSWSETBEX1RETI图3-4键盘中断程序流程图PROC INT13.5双

41、字节二进制整数转换为压缩BCD码整数子程序N*8送入位计数器R3N字节二进制数左移一位, 高位送入CY2*(结果单元)+CY 送入结果单元(十进制加)返 回R3减1为0?开 始0送入结果单0送入结果单元开 始元0送入结果单元开 始NIBTD:MOVA, R0MOVR5, AMOVR6, AMOVA, R7INCA MOVA, R3 CLRANBD0: MOVR1, A INCR1 DJNZR3, NBD0 MOVA, R7 MOVB, #08H MULAB MOVR3, ANBD4: MOVA, R5MOVR0, AMOVA, R7MOVR2, ACLRCNBD1: MOVA, R0 RLCA

42、 图3.5二进制整数转换为压缩BCD码 MOVR0, A整数子程序的程序流程图 INCR0 DJNZR2, NBD1 MOVA, R6MOVR1, AMOVA, R7MOVR2, A INCR2NBD3:MOVA, R1 ADDCA, R1 DA A MOVR1, A INCR1 DJNZR2, NBD3DJNZR3, NBD4RET3.6 三字节压缩BCD码转换成ASCII码子程序MOVR2,#N ;字节数存R2 MOVR0,#50H ;ASCII码首地址MOVR2,#60H ;BCD码首地址BCDASC:MOVA,R1 ;取BCD码MOVR3,A ;BCD码暂存R3ANLA,#0F0H ;

43、屏蔽低四位保留高四位SWAPA;A中的高低四位交换ADDA,#30H ;加30H得高位ASCII码送所要显示的字符数LCD初始化并做显示测试调用LCD第一行的显示子程序设置第二行所要显示的字符首址设置第一行所要显示的字符及位置开 始设置所要显示的位置调用LCD第二行的显示子程序字符地址加1显示位置加1字符显示完否?返回MOV A, R3 ;保存ASCII码INC R0MOV A, R3 ;再取BCD码ANL A, #0FH;屏蔽高四位保留低四位ADD A, #30H ;加30H得低位ASCII码MOVR0,AINC R1INC R0DJNZR2, BCDASCRET3.7 LCD显示程序LCD

44、:RS EQUP2.0;LCD RS 引脚定义EN EQUP2.1;LCD EN 引脚定义BEGIN:CLREN;LCD被禁用CALLSET_LCD;LCD初始化并做显示测试MOV A,18H;设置所要显示的字符MOV B,#11;设置所要显示LCD的位置CALLLCDP1;在LCD第一行显;示字符MOVR4,#6MOVR1,#39HMOVR2,#8 图3-7 LCD显示流程图XU: INCR1MOVA,R1;设置所要显示的字符INCR2MOVB,R2;设置所要显示LCD的位置CALLLCDP2;在LCD第二行显示字符DJNZR4,XUSET_LCD:;LCD初始化并做显示测试CLREN;LCD被禁用CALLINIT_LCD;LCD初始化MOVR5,#10;延迟一下CALLDELAYMOVDPTR,#LMESS1;指针指到显示消息1MOVA, #

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号