EDA课程设计(论文)彩灯显示控制.doc

上传人:laozhun 文档编号:3931631 上传时间:2023-03-28 格式:DOC 页数:13 大小:377KB
返回 下载 相关 举报
EDA课程设计(论文)彩灯显示控制.doc_第1页
第1页 / 共13页
EDA课程设计(论文)彩灯显示控制.doc_第2页
第2页 / 共13页
EDA课程设计(论文)彩灯显示控制.doc_第3页
第3页 / 共13页
EDA课程设计(论文)彩灯显示控制.doc_第4页
第4页 / 共13页
EDA课程设计(论文)彩灯显示控制.doc_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《EDA课程设计(论文)彩灯显示控制.doc》由会员分享,可在线阅读,更多相关《EDA课程设计(论文)彩灯显示控制.doc(13页珍藏版)》请在三一办公上搜索。

1、燕山大学课 程 设 计 说 明 书题目:彩灯显示控制一学院(系):电气工程学院年级专业:08级检测技术与仪器学 号:学生姓名: 指导教师:李婷 李艳艳教师职称:实验师 实验师燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目彩灯显示控制一设计技术参数有十个LED,L1、L2L10,显示方式为先奇数灯(L1开始)依次灭,再偶 数灯灭,再由L1到L10依次灭,依次循环显示间隔为0.5s有启动和暂停功能设计要求控制“指示灯L1-L10”控制时钟频率以满足显示间隔要求用拨码开关实现启动和暂停功能工作量学会使用Max+Pluss软件和实验

2、箱独立完成电路设计,编程下载,连接电路和调试参加答辩并写任务书工作计划1 了解EDA的基本知识,学习使用软件Max+Plus,下发任务书,开始电路设计;2 学习使用实验箱,继续电路设计;3 完成电路设计;4 编程下载、连接电路、调试和验收5 答辩并写任务书参考资料数字电子技术基础.阎石主编.高等教育出版社EDA课程设计指导书指导教师签字基层教学单位主任签字金海龙说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。目录第一章 彩灯显示控制一设计说明.41.1 设计思路.41.2 模块介绍.41.3 真值表.6第二章 原理图.8第三章 波形仿真图.9第四章 管脚锁定及硬件连线.10第五章

3、 总结.12参考文献.12评审意见表.13第一章 彩灯显示控制一设计说明 1.1设计思路先用两个74160做成二十进制的计数器。并用两个74154连成一个532线的译码器,再用计数器输出的二进制数作为译码器的输入及片选,它可以根据二十种不同的输入来编译出相应的输出。因此我们就可以得到二十种需要的输出状态,再通过门电路把它依次传送给彩灯。最后选择相应的时钟信号并和拨码开关相与,把输出端接到74160的CLK端。这样我们就可以对10个彩灯进行题目所需要的控制。1.2模块介绍 时钟控制电路 功能简介: clk是周期为0.25s的时钟信号。 KAIGUAN是暂停控制开关,它可以使彩灯启动和随时暂停在某

4、一状态。当KAIGUAN拨码开关切换到高电平电平时,与门输出时钟信号,此时彩灯循环启 动,当KAIGUAN拨码开关切换到低电平时,与门输出低电平,此时彩灯会暂时停在当前状态二十进制循环计数器 功能简介: 74160是个十进制循环计数器,将两个74160用并行进位方式、整体置零法接成二十进制的循环计数器,因此它可以输出二十个二进制码,高位QA、QB相当于QE、QF,再把它们依次传送到译码器,就可以实现我们所要的功能。当输出100000即20时将CLRN端置零,计数器清零进入到下一个循环。译码器输出电路功能简介: 用两片74154(416译码器)扩展为532译码器。74154只有4个地址输入端A,

5、B,C,D,如果对5位二进制代码译码,就要利用一个附加控制端来实现扩展。计数器低位QA,QB,QC,QD分别对应连接两片74154的A,B,C,D输入端,计数器高位QA与74154的G1N和G2N连接来实现选择两片之一。低位的O0N到O9N表示O0N到O9N,高位的O0N到O9N表示O10N到O19N,共有20种状态。把这20种状态通过门电路编制后送给10个彩色信号灯。这10个信号灯就会按照我们要求的现象发光和熄灭,并且遵循着规定频率循环性的工作。1.3真值表 计数器真值表行数QFQEQDQCQBQAO0NO1NO2NO3NO4NO5NO6NO7NO8NO9NO10NO11NO12NO13NO

6、14NO15NO16NO17NO18NO19N1000000011111111111111111112000001101111111111111111113000010110111111111111111114000011111011111111111111115000100111101111111111111116000101111110111111111111117000110111111011111111111118000111111111101111111111119001000111111110111111111111000100111111111101111111111110100

7、0011111111110111111111120100011111111111101111111113010010111111111111011111111401001111111111111110111111150101001111111111111101111116010101111111111111111011111701011011111111111111110111180101111111111111111111101119011000111111111111111111012001100111111111111111111110 输入与LED对应真值表行数QFQEQDQCQBQA

8、L10L9L8L7L6L5L4L3L2L11000000111111111020000011111111011300001011111O1111400001111101111115000100101111111160001011111111101700011011111101118000111111101111190010001101111111100010010111111111110100001111111110120100011111111101130100101111111011140100111111110111150101001111101111160101011111011111

9、170101101110111111180101111101111111190110001011111111200110010111111111 第二章 原理图简介:由拨码开关控制时钟信号使计数器以需要的时间间隔启动和暂停,计数器将每时刻的状态送给译码器译码,产生最终输出状态编码,控制彩灯的亮灭。具体各部分功能及工作状况参照第一章。第三章 波形仿真图简介:如图所示从0s开始拨码开关为高电平彩灯循环启动,0-3.0s时间彩灯按奇数灯依次灭,3.0s-5.5s时间按偶数灯依次灭,5.5s-13.0s时间从L1到L10依次灭,间隔为0.5秒,由于1.25-2.0s和8.0-10.5s时拨码开关KAI

10、GUAN切换为低电平,彩灯就停在当前状态。以此往后当拨码开关KAIGUAN为高电平时,彩灯就以0.5s秒间隔时间循环工作,当拨码开关KAIGUAN切换到低电平时,彩灯显示暂停。第四章 管脚锁定及硬件连线管脚锁定:锁定部件管脚名称clkPin174KAIGANPin39L1Pin75L2Pin83L3Pin85L4Pin86L5Pin87L6Pin88L7Pin89L8Pin90L9Pin92L10Pin93硬件连线:组别引脚名称连接管脚名称低频率组B19(4HZ)Pin174彩灯信号指示灯组L9Pin39L10Pin75L11Pin83L12Pin85L13Pin86L14Pin87L16Pi

11、n88L17Pin89L18Pin90L19Pin92第五章 总结虽然这次EDA课程设计短短历时五天,但我学到了很多东西,也巩固了之前所学的理论知识,收获了宝贵的经验。虽然在课程设计过程中遇到了一些问题,但是通过查阅资料不断地思考尝试加上和同学的讨论,最后都被解决了。特别是每当一个子模块设计成功的时候都有一种成就感,心里特别开心。这次课程设计还让我发现了自己的不足之处,对以前所学过的知识理解的不够深刻,掌握的不够牢固,以至于设计模块的时候还总去翻书。设计过程当中也犯了一些思路和连线的错误,通过仿真检验了出来,经过再次整理思路进行模块的连接,最终也解决了。印象最深刻的是当把编译好的文件下载到实验

12、箱的时候,换了三台实验箱都没下载成功,最后才发现是自己粗心在管脚锁定时选错了编程器件,造成了幼稚而不必要的错误。经过再次管脚锁定,终于顺利完成了实验。总的来说,通过一周的课程设计,我受益颇多。不仅在老师的指导下学会立刻软件MAX+plus II 10.2 BASELINE的基础使用方法,锻炼了我们动手动脑能力,也使我懂得了理论和实践相结合的重要性。光有理论知识是远远不够的,要把所学的理论知识和实践结合起来,来能提高自己的实际动手和独立思考能力。在设计过程中遇到问题是,我们要有耐心地查找错误,不能慌乱,才能找到问题的所在并解决。在我的努力同学的帮助一集指导老师的指引下我圆满地完成了彩灯显示控制一

13、的设计,实现了设计目的。最后我要对指导老师不耐其烦的悉心指导和同学的帮助表示衷心的感谢!参考文献1数字电子技术基础.阎石主编.高等教育出版社.2006年.2EDA课程设计指导书. 张莹 郑兆兆 陈白主编.教务处出版.2011年1月.燕山大学课程设计评审意见表指导教师评语:该生学习态度 (认真 较认真 不认真) 该生迟到、早退现象 (有 无)该生依赖他人进行设计情况 (有 无)平时成绩: 指导教师签字: 2011 年 3 月 18 日图面及其它成绩:答辩小组评语:设计巧妙,实现设计要求,并有所创新。 设计合理,实现设计要求。 实现了大部分设计要求。 没有完成设计要求,或者只实现了一小部分的设计要求。 答辩成绩: 组长签字: 2011 年 3 月 18 日课程设计综合成绩:答辩小组成员签字: 2011年 3 月 18 日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号