[毕业论文]智力竞赛抢答器设计.doc

上传人:laozhun 文档编号:3932678 上传时间:2023-03-28 格式:DOC 页数:21 大小:491KB
返回 下载 相关 举报
[毕业论文]智力竞赛抢答器设计.doc_第1页
第1页 / 共21页
[毕业论文]智力竞赛抢答器设计.doc_第2页
第2页 / 共21页
[毕业论文]智力竞赛抢答器设计.doc_第3页
第3页 / 共21页
[毕业论文]智力竞赛抢答器设计.doc_第4页
第4页 / 共21页
[毕业论文]智力竞赛抢答器设计.doc_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《[毕业论文]智力竞赛抢答器设计.doc》由会员分享,可在线阅读,更多相关《[毕业论文]智力竞赛抢答器设计.doc(21页珍藏版)》请在三一办公上搜索。

1、 EDA技术课程设计报告题 目 智力竞赛抢答器设计 学 院 电子信息工程学院 专 业 电子信息工程(本) 学生姓名 学 号 年级 2009级 指导教师 职称 副教授 设计报告(40分)程序(实物) 设计(40分)工作态度(20分)总成绩(100分)评阅人签字评阅日期课程设计题目智力竞赛抢答器组长程超组员 班级09级电信二班课程设计目的设计出具有显示最先抢答者的编号的抢答器课程设计所需环境Max+plus2,计算机,EDA实验箱课程设计任务要求 设计具有抢答锁存,编码,译码显示的8路智力竞赛抢答器课程设计工作进度计划序号起止日期工 作 内 容分工情况111月7日课程设计的基本构思小组成员积极发表

2、自我想法,对课程进行初步谈论211月8日设计智力抢答器的基本模块构造与可实行方案小组成员自己收集资料,设计出基本构造,并最后进行讨论311月9日编写和完善智力抢答器的VHDL语言小组成员对程序进行分工编写,完成自己部分,小组形式对程序最后检验讨论11月10日实验室中对智力抢答器软硬件的测试、仿真与下载小组成员一起对整个设计成果进行最后实验测试与验证411月11日实验室中对智力抢答器软硬件的测试、仿真与下载小组成员一起对整个设计成果进行最后实验测试与验证学生签名: 指导教师签字: 年 月 日 系部审核意见: 系主任签字: 年 月 日摘要:本课程设计主要通过各模块的相互协作共同实现抢答器的锁存、显

3、示与蜂鸣功能。在本次设计中,系统开发平台为MAX+plus,硬件描述语言是VHDL 。竞赛者可以分为8 组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,蜂鸣器蜂鸣,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答,此时蜂鸣器停止。并且依据设计方案和设计平台完成了程序编写和程序调试,通过运行程序及时序波形的仿真有效验证了设计的正确性,初步实现了设计目标。关键词:锁存器;与门;抢答器;MAX+plus;VHDL Abstract:This course design

4、 is divided into four rnain module to achieve the Responders latch ,display and buzzer function . In tlils design , system developing platform for MAX+plus, hardware description language is VHDL . Competirors may be divided into eight groups , vies to answer first when each to the host puts forward

5、the problems in the shortest possible time to make judgment ,and press the buttons vies to answer the question. when the first man press bottons , then on screen display supervisor number , and the buzzer on, other groups circuit will bottons blockade , make it doesnt work . when answered questions

6、, by a host will restore all keys and start again next contest, and the buzzer off. And according to the design scheme and design platform completed programming and program test , through to run the program in time sequence waveform simulation verified effectively the correctness of design and then

7、realized the design goal.Keywords:latch , and8 ,scare- answering manometers ; MAX+plus; VHDL . 目录引 言III一、设计的目的与任务2二、课程设计的基本要求3三、设计的基本内容44.1智力竞赛抢答器的组成和原理64.1.1 抢答输入开关电路74.1.2锁存器74.1.3 编码器74.1.4译码器84.1.5解锁器84.1.6数码显示器84.2智力竞赛抢答器的VHDL源程序84.4智力竞赛抢答器的编译和仿真124.5程序的下载及验证13五 课程设计总结与体会14致谢15参考文献16引 言随着科学技术的日

8、益发展,电子计算机的出现,使得人们的学习生活变得更加方便。而其中电子设计方面更是受益不浅,可编程器件的出现,各种计算机语言的发明使得人们从原来需要在实验室反复的实验最后很辛苦的作出模型,然后再去验证设计得正确性到现在的只需要在计算机上进行相应的编程和仿真便能够验证设计的正确性,和设计师并不是合理。让我们现在的电子设计周期变短,成本也有很大程度的减少。 可编程逻辑器件是一种半成品的半导体器件,在它的内部整齐的摆放着很多简单的门电路(阵列形式或者是单元阵列形式),对这些简单的门电路逻辑器件进行适当的连接便生成能够满足人们特定需要的电路,并且有的可编程逻辑器件使可重复编程的。 抢答器,一种在各种娱乐

9、场所都会被普遍用到的器件。它的设计可以通过各种手段,比如:传统的PCB设计或者是单片机设计 传统的PCB设计的弊端毋庸置疑,耗时耗费。在众多的设计中基于VHDL语言以EDA技术作为开发的设计手段凭借它较短的周期,较廉价的设计耗费脱颖而出。正是由于EDA技术的这些特点,才使得越来越多的高端电子产品更贴近人们的生活。 下面我们就以抢答器的设计来进一步的了解EDA技术。一、设计的目的与任务本课程设计是在学院EDA技术课程后的必修课程,它的教学目的和任务是综合利用所学EDA技术知识完成一个具有完整功能的电子系统设计,从系统顶层模块的划分、各功能模块的硬件语言描述(编程)、各模块及整体电路仿真、到最后下

10、载到可编程器件实现真实的电路,让学生亲自体验一次采用现代电子设计自动化技术完成一个电子系统设计的全过程。让学生得到一次自主使用VHDL语言描述电路功能的训练机会,从而提高对VHDL语言的使用能力,加深对仿真在设计中的重要作用的认识,提高对使用Max+plus2EDA软件工具的熟练程度,最终获得初步的电子系统设计经验,为毕业设计和将来从事电子设计的相关工作打下基础。二、课程设计的基本要求 本课程设计,采用以学生自主设计为主教师指导为辅的原则,让学生有一个充分发挥自我想像的空间,设计分阶段进行,在独立力完成了方案设计后,组织一次交流讨论会,互相启发开阔设计思路,尽可能使学生在这个具有创新思维、难度

11、最高的设计环节获得更多的收益,通过该课程设计更深入更全面地提高使用Max+plus2软件工具完成设计全过程的熟练程度,尤其是要提高仿真和试验开发系统的使用能力,设计最终要做出真实电路并上电检测其功能和性能指标是否达到了预定的目标,最后写出课程设计报告。三、设计的基本内容本文是设计的一个八路智力竞赛抢答器,用VHDL语言描述抢答器逻辑功能,经编译后仿真,仿真波形正确后,加上段码译码器编译通过后方可在实验箱上下载,做真实电路验证。设计成一个有如下功能的抢答器(1)满足8个组,同时参加竞赛抢答。在竞赛主持人出完题并示意抢答开始后,每个组都可通过各自的按钮发出抢答信号,抢答器一旦接收到某组最先发出的信

12、号,立即让数码管显出该组组号。抢答成功同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。主持人用复位钮复位抢答器,数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下一题抢答。四、智力竞赛抢答器的设计与验证层次化设计图形输入文本输入建立项目文件选择器件编译逻辑正确仿真分析引脚分配重新调整设计正确生成下载文件下载并验证NONOYESYES设计输入设计生成设计实现硬件下载图44.1智力竞赛抢答器的组成和原理因为设计的是八路抢答器,所以系统的输入信号有:各选手的抢答按钮分别为G1、G2、G3、G4、G5、G6、G7、G8,复位端RES;系统的输出信号有:Q和声音提示输出信号T

13、,其中Q的输出端接在7段LED灯上,在LED灯上显示相应的选手编号。八个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干。 图4-1图4-1-14.1.1 抢答输入开关电路该电路由8个开关按键组成,每一个选手与一个开关对应。开关为常开型,即当按下开关时,开关自动的弹开断开,此时输入抢答信号自动变为高电平;当按下抢答开关时,开关闭合,输入抢答信号为低电平。当复位控制后,便可开始抢答,选手即可立即按下抢答开关键。4.1.2锁存器当只要有一个且为任意一个抢答输入信号产生时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为避免之后的抢答开关按钮也按下产生错乱,最先产生的输出

14、电平变化又反馈回来将触发器锁定住,并保持输出的电平信息。这样就避免了抢答先后发生紊乱不清楚的现象发生。 4.1.3 编码器编码器的作用是将开关信息转化为8421BCD码,以提供数字显示电路所需要的编码输入。4.1.4译码器译码器的作用是将编码器输出的8421BCD码转化为数码管需要的逻辑状态,译码器由七段共阴二极管组成,高位在左,低位在右,如当输入译码器的信号为“1101101”时,数码管的七个段g,f,e,d,c,b,a分别接1,1,0,1,1,0,1,接有高电平的段亮,于是数码管显示为“5”。4.1.5解锁器当触发锁存电路被锁存后,若要进行下一轮的重新抢答,则需要将锁存器解锁,可通过强迫是

15、复位控制置为1,使锁存处于等待锁存状态,以准备进行下一轮的抢答。4.1.6数码显示器数码显示管是具有发光的共阴二极管(LED)数码管,当译码后便可在数码管上显示出当前最先抢答者的编号数值,当复位控制后,数码管又归零,继续等待着下一次抢答信号。4.2智力竞赛抢答器的VHDL源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY scq_8 IS PORT (g: IN STD_LOGIC_VECTOR (8 DOWNTO 1); rst, en: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR (8 DOWNTO 1);E

16、ND scq_8;ARCHITECTURE one OF scq_8 ISBEGIN PROCESS ( rst, en) BEGIN IF rst=0 THEN q= 11111111; ELSIF en =1 THEN q sum sum sum sum sum sum sum sum sum LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S NULL; END CASE; END PROCESS;END; 图4-2-34.3抢答器连线图如下图4-3

17、4.4智力竞赛抢答器的编译和仿真在对源程序的编译之前,应选好相应的器件,编译后,若无错误,则进行波形仿真,仿真结果如图所示图4-4时序仿真分析:RES为复位端,G8.1表示参与答题的八位选手,sound为有选手抢答成功发出声音信号,led7s为输出信号,把led7s的输出端接在LED灯上,表示抢答的输出结果,在实验箱的LED上显示相应的选手编号。如图所示:当输入为11111101,即第七个人抢答成功,则输出Q为5B,即相应的七号选手,抢答成功,其他信号被屏蔽,为无效信号。所以,此符合设计的要求。4.5程序的下载及验证将程序下载到芯片FLEXEPF10LC84-4上后,查看引脚,如图所示,再照着

18、引脚对号连线,最后对电路进行验证。经验证,实验结果能模拟出实验的要求,程序正确。图4-5五 课程设计总结与体会通过这次课程设计的学习与制作,我学习到了很多。通过这次课程设计的学习与制作,我学习到了很多。对于整个课程设计中,从开始到最后,都需要我们一步一步的去完成,以前的学习中,很少接触到这样形式的动手设计,完全是实现一个具有实际应用的功能部件,感觉很难,但却很有意思。在这其中,我们需要不断的去查阅相关知识,因为平时动手机会比较少,在实际动手时,会遇到一些不曾想到的问题,在这其中,我们需要发挥自己的想象力与创造力,不断的去摸索,探讨,去解决遇到的问题,在这其中我们都收获颇大,明白了一个设计需要的

19、基本步骤,需要一些什么样的心态去面对这些问题,最后成功后,感觉特别的兴奋。在这次团队形式的设计过程中,我还明白了一个深刻的道理,那就是一个团队的力量,在这个过程中,如果仅凭我一个人的力量要完成这样的一个课程设计,我知道这时很困难的,但在我们3人小组的共同探索下,我发现,我们都能很好的实现我们与预想的结果,即使错误,我们都会探讨出更好的方案,通过一个团队的力量,使我们这次的课程设计进行的很顺利,在规定的时间内,完成了任务。都不能脱离我们这个团队的力量。闻道有先后,术业有专攻。我们互相弥补对方的不足,发挥自己的优点,特长,这就是团队的力量,这是在这次课程设计中,我体会颇深的一个道理。希望在以后得学

20、习,生活中,一直能这样幸运的有这么一个团队在身边一起分担困难,疑惑。最后学到:要面对社会的挑战,只有不断的学习、实践,再学习、再实践。 致谢在此次课程设计中,非常感谢老师对我们的指导与大力的帮助。如果没有他严谨细致、一丝不苟地批阅和指正,此课程设计很难在这个短时间内完成。从开始进入课题到课程设计报告的顺利完成,有很多可敬的师长、同学、朋友给了我很大的帮助,在这里请接受我诚挚的谢意! 参考文献1潘松 黄继业 编著EDA技术实用教程(第三版)科学出版社,2007.2王振红 主编VHDL数字电路设计与应用实践教程机械工业出版社,2006.3潘松,王国栋. VHDL使用教程. 成都 : 电子科技大学出版社,20004谭会生,张昌凡. EDA技术与应用. 西安:西安电子科技大学出版社,20015陈光梦. 可编程逻辑器件的原理与应用.上海:复旦大学出版社,19986江国强. 现代数字逻辑电路. 北京: 电子工业出版社,20037王振红.VHDL数字电路设计与应用实践教程. 北京:工业出版社,20068赵曙光,郭万有,杨颂华. 可编程逻辑器件原理、开发与应用. 西安:西安科技大学大学出版社,2000评语: 评阅教师签名: 年 月 日成 绩

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号