毕业论文EDA技术在电子线路设计中的应用.doc

上传人:laozhun 文档编号:3972367 上传时间:2023-03-30 格式:DOC 页数:20 大小:800.50KB
返回 下载 相关 举报
毕业论文EDA技术在电子线路设计中的应用.doc_第1页
第1页 / 共20页
毕业论文EDA技术在电子线路设计中的应用.doc_第2页
第2页 / 共20页
毕业论文EDA技术在电子线路设计中的应用.doc_第3页
第3页 / 共20页
毕业论文EDA技术在电子线路设计中的应用.doc_第4页
第4页 / 共20页
毕业论文EDA技术在电子线路设计中的应用.doc_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《毕业论文EDA技术在电子线路设计中的应用.doc》由会员分享,可在线阅读,更多相关《毕业论文EDA技术在电子线路设计中的应用.doc(20页珍藏版)》请在三一办公上搜索。

1、毕 业 论 文EDA技术在电子线路设计中的应用 技术在电子线路设计中的应用摘 要 电子设计的必由之路是数字化,这已成为共识。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的。电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升,它已成为当今电子技术发展的前沿之一。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器

2、件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。本文首先阐EDA技术的基本概念和发展过程,并通过实例介绍EDA技术在电子设计中的应用。关键词 EDA技术概述/电子线路设计/EDA技术的发展The rapid development of the EDA technologyABSTRACTElectronic Design is the comonly way to digital, which has become the consensus. Electronic products are be

3、ing carried out at an unprecedented rate of innovation, mainly large-scale programmable logic devices in a wide range of applications. Especially in the current semiconductor technology has reached the level of deep sub-micron chip integration of high-reach stem megabits, the clock frequency to the

4、stem MHz is also more than the development of the median data of several billion times per second, the future integrated circuit technology will be the development trend of system-on-chip SOC. In order to achieve on-chip system-on-chip programmable complex programmable logic device (CPLD) and field

5、programmable gate array (FPGA) will become the future design of electronic systems, a direction of development. Therefore, the development of electronic design technologies to today, will face even greater significance in another breakthrough, FPGA on the basis of a wide range of EDA applications.ED

6、A technology concepts: EDA is the electronic design automation, as it is just a new technology developed, involving a wide range of content-rich, understanding of different, so there is no one precise definitiKEY WORDS EDA technology, Electronic Design, EDA technology concept目 录一 EDA技术概述11 EDA技术的概念1

7、2 EDA技术的发展大致可以分为三个发展阶段。13 EDA技术的基本特征14 EDA技术的应用25 EDA技术发展趋势2二 技术的应用举例(TDA1514功放电路在中的设计)3 功放芯片简述3 电路原理图、电路中主要元器件作用简介及原理图绘制规则42.1 原理图42.2 电路主要元器件功能说明52.3 protel绘制原理图基本规则52.3.1 电路板规划52.3.2 元器件的选择62.3.3 元器件的布局62.3.4 元器件的连线63 自动布局及手动调整布局74 音响功放类电路pcb版设计注意事项:84.1 增强高频抗干扰能力84.2 注意电源变压器安装方式84.3 地线干扰84 pcb板图

8、、附铜板图及三维立体图95 PCB设计流程、设计规则及注意事项115.1 前期准备115.2 PCB结构设计。125.3 PCB布局125.4 元件排列规则125.5 按照信号走向布局原则125.6 防止电磁干扰135.7 抑制热干扰135.8 可调元件的布局135.9 PCB的布线13三 电路版制版工艺流程141 单面制板工艺流程简述142 双面制板工艺流程简述14结束语15致 谢16参考文献17一 EDA技术概述1 EDA技术的概念: EDA是电子设计自动化(E1echonics Des5p AMtoM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前

9、尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形集成电子系统或专用集成芯片。2 EDA技术的发展大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅

10、助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20世纪90年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使

11、设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。3 EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图

12、或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的“自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,有减少了逻辑功能仿真的工作量,

13、提高了设计效率4 EDA技术的应用:电子EDA技术发展迅猛,逐渐在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面:几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。在科研方面:主要利用电路仿真工具进行电路设计与仿真;利用虚拟仪器进行产品调试;将O)LI)FPGA器件的开发应用到仪器设备中。从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在Pcb印制板的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。可以说电子EDA术已经成为电子工业领域不可缺少的技术支持。5 EDA

14、技术发展趋势: EDA技术在进入21世纪后,在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断更新、增加,使电子EDA技术得到了更大的发展。电子技术全方位纳入EDA领域,EDA使得电子领域各学科的界限更加模糊,更加互为包容,突出表现在以下几个方面:使电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;基于EDA工具的ASIC设计标准单元已涵盖大规模电子系统及IP核模块;软硬件IP核在电子行业的产业领域、技术领域和设计应用领域得到进一步确认;SoC高效低成本设计技术的成熟。随着半导体技术、集成技术和计算机技术的迅猛发展,电子系统的设计方法和设计手段都发生了很大的变化。可以说

15、电子EDA技术是电子设计领域的一场革命。传统的“固定功能集成块十连线”的设计方法正逐步地退出历史舞台,而基于芯片的设计方法正成为现代电子系统设计的主流。随着科技的进步,电子产品的更新日新月异,EDA技术作为电子产品开发研制的源动力,已成为现代电子设计的核心。所以发展EDA技术将是电子设计领域和电子产业界的一场重大的技术革命,同时也对电类课程的教学和科研提出了更深更高的要求。特别是EDA技术在我国尚未普及,掌握和普及这一全新的技术,将对我国电子技术的发展具有深远的意义。二 技术的应用举例(TDA1514功放电路在中的设计) 功放芯片简述1514是飞利浦公司生产的一款优秀的HIFI集成电路。 TD

16、A1514A的工作电压为9V30V,在电压为25V、RL=8时,输出功率达到50 W,总谐波失真为0.08% 。电路有静音保护,过热保护,低失调电压高波纹抑制 等功能。而且热阻极低,高频解析力强,低频有力度,音色通透纯正,低频丰满,高频透亮 。芯片正面 芯片背面 电路原理图、电路中主要元器件作用简介及原理图绘制规则2.1 原理图2.2 电路主要元器件功能说明元器件在电路中功能芯片1脚正相输入 用做信号输入芯片9脚反响输入 用于负反馈芯片3脚静音控制,C05 R07(C06 R08)决定开机静音时间,静音时间与这两个元件大小成正比。芯片7脚自举,更换自举电容C09(C10)的种类可以使音色发生微

17、妙的变化,R09 R11(R10 R12)需要用较大功率电阻。C01,C03,R01,(C02,C04,R02)构成滤波电路,其中C01,R01把低频截至频率限制到7HZ ,C03电容可以吸收一部分高频震荡降低烟花率.R03,R05(R04,R06)两个电阻为反馈网络,控制电路放大倍数在30左右R13,C07(R14,C08)构成输出茹贝尔网络,电阻应选用大功率电阻C11,C13(C12,C14)退耦电容C15(C16)并联在电源端,吸收线路耦合信号的D16A高速整流桥。2.3 protel绘制原理图基本规则原理图设计是整个Protel工程的开始,是PCB文档设计乃至最后制版的基础。一般设计程

18、序是:首先根据实际电路的复杂程度确定图纸的大小,即建立工作平面;然后从元器件库中取出所需元件放到工作面上,并给它们编号、对其封装进行定义和设定;最后利用Protel DXP提供的工具指令进行布线,将工作平面上的元器件用具有电气意义的导线、符号连接起来,对整个电路进行信号完整性分析,确保整个电路无误。 2.3.1 电路板规划 电路板规划的主要目的是确定其工作层结构,包括信号层、内部电源/接地层、机械层等。通过执行菜单命令DesignBoard Layers,在打开的对话框中可以控制各层的显示与否,以及层的颜色等属性设置。如果不是利用PCB向导来创建一个电路板文件的话,就要自己定义PCB的形状和尺

19、寸。绘制时需单击工作窗口底部的层标签,再由PlaceKeepout 命令来单独定义。该操作步骤实际上就是在Keep Out Layer(禁止布线层)上用走线绘制出一个封闭的多边形,而所绘多边形的大小一般都可以看作是实际印制电路板的大小。 2.3.2 元器件的选择 对元器件的选择要严格遵循设计要求。在Protel DXP软件中,常用的分立元件和接插件都在软件分目录Library 下Miscellaneous Device. Intlib和Miscellaneous Connectors. Intlib 两个集成元件库中。其它的元件主要按元器件生产厂商进行分类,提供了型号丰富的集成库。但是有时候出

20、于个人设计的需要,设计者无法在库文件中找到完全匹配的元器件,此时就只有通过制作工具绘制所需元器件。需要注意的是,绘制元件时一般元件均放置在第四象限,象限交点即为元件基准点。 2.3.3 元器件的布局 Protel DXP 提供了强大的自动布局功能,在预放置元件锁定的情况下,可用自动布局放置其他元件。执行命令ToolsAuto PlacementAuto Placer,在Auto Place 对话框中选择自动布局器。Protel DXP提供两种自动布局工具:Cluster Placer 自动布局器使用元件簇算法,将元件依据连接分为簇,考虑元件的几何形状,用几何学方法布放簇,这种算法适用于少于10

21、0 个元件的情况;Global Placer 自动元件布局器使用基于人工智能的模拟退火算法,分析整个设计图形,考虑线长、连线密度等,采用统计算法,适用于更多元件数量的板图。自动布局较方便,但产生的板并不是最佳方案,仍需要手工调整。 2.3.4 元器件的连线 连线很讲究原则和技巧,走线应尽量美观、简洁。一些设计人员在初期使用Protel DXP进行设计时,只在表象上将元件连起,而出现“虚点”。导致在生成网络报表时出错。好的设计习惯是打开电气网络,使连线可以轻松连接到一个不在捕获网络上的实体;打开在线DRC,监控布线过程,违反规则的设计被立即显示出来。完成预布线后,为了在自动布线时保持不变,需要对

22、预布线锁定。打开菜单EditFind Similar Objects,选择要锁定的对象。自动布线与交互式布线相结合可以很好地提高布线成功率和效率。自动布线的结果为手工调整提供参考。3 自动布局及手动调整布局自动布局图调整后布局图 4 音响功放类电路pcb版设计注意事项:电路设计的最终目的是生产制作电子产品,各种电子产品的使用功能与物理结构都是通过印制电路板来实现的。印制电路板(PCB)是电子设备中的重要部件之一,其设计和制造是影响电子设备的质量、成本的基本因素之一。因此,印制电路板(PCB)设计质量直接影响着电子产品的性能4.1 增强高频抗干扰能力针对杂散电磁波多数是中高频信号的特点,在放大器

23、输入端对地增设磁片电容,容值可在47220P之间选取,数百PF的电容频率转折点比音频范围高两、三个数量级,对有效听音频段内的声压响应和听感的影响可忽略不计。4.2 注意电源变压器安装方式用质量较好的电源变压器,尽量拉开变压器与PCB之间的距离,调整变压器与PCB之间的方位,将变压器与放大器敏感端远离。4.3 地线干扰音频电路地线可简单划分为电源地和信号地,电源地主要是指滤波、退耦电容地线,小信号地是指输入信号、反馈地线。小信号地与电源地不能混合,否则必将引发很强的交流声。强电地由于滤波和退耦电容充放电电流较大(相对信号地电流),在电路板走线上必然存在一定压降,小信号地与该强电地重合,势必会受此

24、波动电压影响,也就是说,小信号的参考点电压不再为零。信号输入端与信号地之间的电压变化等效于在放大器输入端注入信号电压,地电位变化将被放大器拾取并放大,产生交流声。增加地线线宽、背锡处理只能在一定程度上减弱地线干扰,但收效并不明显。有部分未严格将地线分开的PCB由于地线宽、走线很短,同时放大级数很少、退耦电容容量很小,因此交流声尚在勉强可接受范围内,只是特例,没有参考意义。4 pcb板图、附铜板图及三维立体图A面覆铜板B面附铜图5 PCB设计流程、设计规则及注意事项一般PCB基本设计流程如下:前期准备-PCB结构设计-PCB布局-布线-布线优化和丝印-网络和DRC检查和结构检查-制版。5.1 前

25、期准备。这包括准备元件库和原理图。要做出一块好的板子,除了要设计好原理之外,还要画得好。在进行PCB设计之前,首先要准备好原理图SCH的元件库和PCB的元件库。一般常见的元器件可以用peotel自带的元器件库中找到,如果找不到合适的,最好是自己根据所选器件的标准尺寸资料自己做元件库。原则上先做PCB的元件库,再做SCH的元件库。PCB的元件库要求较高,它直接影响板子的安装;SCH的元件库要求相对比较松,只要注意定义好管脚属性和与PCB元件的对应关系就行。之后就是原理图的设计,做好后就准备开始做PCB设计了。5.2 PCB结构设计。这一步根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下

26、绘制PCB板面,并按定位要求放置所需的接插件、按键、开关、螺丝孔、装配孔等等。并充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。5.3 PCB布局。要使所设计的电路板达到预期的目的,印刷电路板的整体布局、元器件的摆放位置起着关键作用,它直接影响到整个印刷电路板的安装、可靠性、通风散热、布线的直通率。印刷电路板的外层尺寸优先考虑,PCB尺寸过大时,印制线条长,阻抗增加,抗噪声能力下降,成本也增加,过小,则散热不好,且邻近线条易受干扰,因此,首先对PCB的大小和外形,给出一个合理的定位。再确定特殊元件的位置和单元电路等,要按电路的流程把整个电路分为几个单元电路或模块,并以

27、每个单元电路的核心元件(如集成电路)为中心,其它的元件要按一定的顺序均匀、整齐紧凑地排列在PCB板上,但不要太靠近这些大的元件,要有一定的距离,特别一些比较大、比较高的元件周围要保持一定的距离,这样有助于焊接和返修。对于功率较大的集成电路要考虑彩散热片,要给它留有足够的空间,并且放于印制板的通风散热好的位置。同时也不要过于集中,几个大的元件在同一板子上,要有一定距离,并且要使他们在45角的方向上,稍小的一些集成电路如(SOP)要沿轴向排列,电阻容元件则垂直轴向排列,所有这些方向都相对PCB的生产过程的传送方向。这样使元器件有规律的排列,从而减少在焊接中产生的缺陷。做显示用的发光二极管等,因在应

28、用过程中要用来观察,应该考虑放于印制板的边缘处。5.4 元件排列规则1).在通常条件下,所有的元件均应布置在印制电路的同一面上,只有在顶层元件过密时,才能将一些高度有限并且发热量小的器件,如贴片电阻、贴片电容、贴IC等放在底层。 2).在保证电气性能的前提下,元件应放置在栅格上且相互平行或垂直排列,以求整齐、美观,一般情况下不允许元件重叠;元件排列要紧凑,输入和输出元件尽量远离。 3).某元器件或导线之间可能存在较高的电位差,应加大它们的距离,以免因放电、击穿而引起意外短路。4).带高电压的元件应尽量布置在调试时手不易触及的地方。5).位于板边缘的元件,离板边缘至少有2个板厚的距离6).元件在

29、整个板面上应分布均匀、疏密一致。5.5 按照信号走向布局原则1).通常按照信号的流程逐个安排各个功能电路单元的位置,以每个功能电路的核心元件为中心,围绕它进行布局。2).元件的布局应便于信号流通,使信号尽可能保持一致的方向。多数情况下,信号的流向安排为从左到右或从上到下,与输入、输出端直接相连的元件应当放在靠近输入、输出接插件或连接器的地方。5.6 防止电磁干扰1).对辐射电磁场较强的元件,以及对电磁感应较灵敏的元件,应加大它们相互之间的距离或加以屏蔽,元件放置的方向应与相邻的印制导线交叉。2).尽量避免高低电压器件相互混杂、强弱信号的器件交错在一起。3).对于会产生磁场的元件,如变压器、扬声

30、器、电感等,布局时应注意减少磁力线对印制导线的切割,相邻元件磁场方向应相互垂直,减少彼此之间的耦合。4).对干扰源进行屏蔽,屏蔽罩应有良好的接地。5).在高频工作的电路,要考虑元件之间的分布参数的影响。5.7 抑制热干扰1).对于发热元件,应优先安排在利于散热的位置,必要时可以单独设置散热器或小风扇,以降低温度,减少对邻近元件的影响。2).一些功耗大的集成块、大或中功率管、电阻等元件,要布置在容易散热的地方,并与其它元件隔开一定距离。3).热敏元件应紧贴被测元件并远离高温区域,以免受到其它发热功当量元件影响,引起误动作。4).双面放置元件时,底层一般不放置发热元件。5.8 可调元件的布局 对于

31、电位器、可变电容器、可调电感线圈或微动开关等可调元件的布局应考虑整机的结构要求,若是机外调节,其位置要与调节旋钮在机箱面板上的位置相适应;若是机内调节,则应放置在印制电路板于调节的地方。在考虑元件位置的同时要对PCB板的热膨胀系数、导热系数、耐热性以及弯曲强度等性能进行全面考虑,以免在生产中对元件或PCB产生不良影响。 5.9 PCB的布线有了元件的位置,根据元件位置进行布线,印制板上的走线尽可能短是一个原则。走线短,占用通道和面积都小,这样直通率会高一些。在PCB板上的输入端和输出端的导线应尽量避开相邻平行,最好在二线间放有地线。以免发生电路反馈藕合。印制板如果为多层板,每个层的信号线走线方

32、向与相邻板层的走线方向要不同。PCB板上所有元件尽量减少和缩短元器件之间的引线和连接,PCB板中的导线最小宽度主要由导线与绝缘层基板间的粘附强度和流过它们的电流值决定。只要允许,要尽可能的用宽线,特别是PCB板上的电源线和地线,导线的最小间距主要是由最不坏情况下的线间绝缘电阻和击穿电压决定。对于一些集成电路(IC)以工艺角度考虑可使间距小于5-8mm。印制导线的弯曲处一般用圆弧最小,避免使用小于90度弯的走线。而直角和夹角在高频电路中会影响电性能,总之,印制板的布线要均匀,疏密适当,一致性好。电路中尽量避开使用大面积铜箔,否则,在使用过程中时间过长产生热量时,易发生铜箔膨胀和脱落现象,如必须使

33、用大面积铜箔时,可采用栅格状导线。导线的端口则是焊盘。焊盘中心孔要比器件引线直径大一些。焊盘太大在焊接中易形成虚焊。焊盘设计完成后,要在印制板的焊盘周围画上器件的外形框,同时标注文字和字符,标注文字和字符等线不要压在焊盘上。如果为双层板,则底层字符应该镜像标注。为了使所设计的产品更好有效地工作,PCB在设计中不得不考虑它的抗干扰能力,并且与具体的电路有着密切的关系。线路板中的电源线、地线等设计尤为重要,根据不同的电路板流过电流的大小,尽量加大电源线的宽度,从而来减小环路电阻,同时电源线与地线走向以及数据传送方向保持一致。有助于电路的抗噪声能力的增强。PCB上即有逻辑电路又有线性电路,使它们尽量

34、分开,低频电路可采用单点并联接地,实际布线可把部分串联后再并联接地,高频电路采用多点串连接地。地线应短而粗,对于高频元件周围可采用栅格大面积地箔,地线应尽量加粗,如果地线很细的导线,接地电位随电流的变化,使抗噪性能降低。因此应加粗接地线,使其能达到三位于电路板上的允许电流。在数字电路中,接地线路布成环路大多能提高抗噪声能力。PCB的设计中一般常规在印制板的关键部位配置适当的退藕电容。在电源入端跨线接10-100uF的电解电容,一般在20-30管脚的附近,都应布置一个0.01PF的瓷片电容,一般在20-30管脚的集成电路芯片的电源管脚附近,都应布置一个0.01PF的磁片电容,对于较大的芯片,电源

35、引脚会有几个,最好在它们附近都加一个退藕电容,超过200脚的芯片,则在它四边上都加上至少二个退藕电容。如果空隙不足,也可4-8个芯片布置一个1-10PF钽电容,对于抗干扰能力弱、关断电源变化大的元件应在该元件的电源线和地线之间直接接入退藕电容,以上无论那种接入电容的引线不易过长。三 电路版制版工艺流程1 单面制板工艺流程简述电路设计覆箔板下料表面处理打印电路图热转印补缺 腐刻(浸泡在1:4FeCl3溶液中腐刻)去膜涂助焊、防氧化剂钻孔焊接元件检查调试 检验包装成品。 2 双面制板工艺流程简述双面覆铜板下料裁板数控钻导通孔检验、去毛刺刷洗化学镀(导通孔金属化) (全板电镀薄铜) 检验刷洗网印负性

36、电路图形、固化(干膜或湿膜、曝光、显影) 检验、修板线路图形电镀电镀锡(抗蚀镍/金) 去印料(感光膜) 蚀刻铜(退锡) 清洁刷洗网印阻焊图形常用热固化绿油(贴感光干膜或湿膜、曝光、显影、热固化,常用感光热固化绿油) 清洗、干燥网印标记字符图形、固化(喷锡或有机保焊膜) 外形加工清洗、干燥电气通断检测检验包装成品。其详细说明这里不再赘述。 结束语 经过努力, EDA技术在电子线路设计中的应用论文终于完成 在整个设计过程中,出现过很多的难题,但都在老师和同学的帮助下都一一得以顺利解决了,在不断的学习过程中我体会到:写论文也是一个学习的过程,从最初刚写论文时对protel dxp软件的初步掌握到最后

37、对用dxp2004设计电路时出现的常见错误能够一一解决,我深切地体会到实践对于学习的重要性,以前只有模糊的概念,没有经过此次再次使用软件,使我对用protel完成电路设计有了系统的认识和掌握,我真正体会到了理论联系实际的益处。总之,通过毕业设计,我深刻体会到要做好一个完整的事情,需要有系统的思维方式和方法,对待要解决的问题,要耐心、要善于运用已有的资源来充实自己。同时我也深刻的认识到,在对待一项任务时,一定要从整体考虑,完成一步之后再作下一步,这样才能更加有效。作为一名大专院校电子类专业的毕业生必须积极主动学习EDA技术并紧跟其发展步伐,只有这样才能乘上现代科技的快车去适应激烈竞争的环境。参考文献1何英主编著:Protl99入门与使用,机械工业出版社;2焦宝文主编:课程设指南,清华大学出版社;3赵世强主编:电子电路EDA技术,西安电子科技大学出版社等4 王廷才,王崇文. 电子线路计算机辅助设计Protel 2004M. 北京: 高等教育出版社,2006. 5 杜刚. 电路设计与制版M . 北京:清华大学出版社,2006 6 李精华. 用Protel DXP 设计电路板的原理和方法J. 西安航空技术高等专科学校学报,2006(3).

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号