毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc

上传人:laozhun 文档编号:3977432 上传时间:2023-03-30 格式:DOC 页数:36 大小:628KB
返回 下载 相关 举报
毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc_第1页
第1页 / 共36页
毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc_第2页
第2页 / 共36页
毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc_第3页
第3页 / 共36页
毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc_第4页
第4页 / 共36页
毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)个基于AT89C51单片机的电子时钟设计.doc(36页珍藏版)》请在三一办公上搜索。

1、摘 要 本设计主要设计了一个基于AT89C51单片机的电子时钟。并在数码管上显示相应的时间。并通过一个控制键用来实现时间的调节和是否进入省电模式的转换。应用Proteus的ISIS软件实现了单片机电子时钟系统的设计与仿真。该方法仿真效果真实、准确,节省了硬件资源。同时单片机以AT89C51为核心元件采用LED数码管显示器动态显示“时”,“分”,“秒”的现代计时装置。与传统机械表相比,它具有走时精确,显示直观等特点。另外具有校时功能,秒表功能,和定时器功能,利用单片机实现的数字时钟具有编程灵活,便于功能的扩充等优点。关键词:数字钟系统; 单片机; LED液晶显示器Digital clock sy

2、stem Abstract The system uses MCU with time, the school features such as the digital clock, SCM AT89C51 is also used as the core components of the LED digital display dynamic display when and points and seconds of the modern time device . Compared with the traditional mechanical watches, it has a pr

3、ecise path that intuitive, and other characteristics. In addition a school function, stopwatch function, and the timer function to achieve MCU use of the digital clock with programming flexibility to facilitate the expansion of functional advantages. Key words: digital clock system; SCM; LED LCD Mon

4、itor目 录 绪论.11.系统方案.2 1.1 系统概述.2 1.2 方案论证.2 1.3扩展功能.3 1.4原理.3 1.5所需元器件.32.电子时钟.3 2.1 电子时钟简介.3 2.2电子时钟的基本特点.3 2.3 电子时钟的原理.43.单片机的相关知识.4 3.1单片机简介.4 3.2 单片机的特点.4 3.3 89C51单片机介绍.44.单元电路设计、原理及器件选择.55电路与程序设计.13 5.1 电路设计.13 5.2 程序设计.15 5.2.1 程序流程图.15 5.2.2定时器0程序流程图.16 5.2.3 系统板上硬件连线.18 5.2.4 汇编源程序.18 5.2.5定

5、时器0中断程序.23 5.2.6定时器1中断程序.25 5.2.7键盘子程序.286. 仿真结果分析.317. 结束语.328.参考文献.34绪论 (一)引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电

6、时间。尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 (二)论文的研究内容和结构

7、安排 本系统采用石英晶体振荡器、分频器、计数器、显示器和校时电路组成。由LED数码管来显示译码器所输出的信号。采用了74LS系列中小规模集成芯片。使用了RS触发器的校时电路。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。论文安排如下: 1、绪论 阐述研究电子钟所具有的现实意义。 2、设计内容及设计方案 论述电子钟的具体设计方案及设计要求。 3、单元电路设计、原理及器件选择 说明电子钟的设计原理以及器件的选择,主要从石英晶体振荡器、分频器、计数器、显示器和校时电路五个方面进行说明。 4、绘制整机原理图 该系统的设计、安装、调试工作全

8、部完成。 1、系统方案1.1 系统概述:本系统可模拟电子时钟,实现时钟,秒表,倒计时定时器功能,主从CPU数据处理、键盘控制与数据显示。主控系统能响应按键,并对其进行相应的处理,再把其对应的结果数据在数码管LED上显示。具体设计基本任务是:1用LED数码管显示时间,且能显示时、分、秒的24小时制的数字钟。2具有校时功能,具体方法:设置三个按钮,其中两个按钮分别对“时”、“分”进行校时,另一个按钮则实现对“秒”位清零系统结构框图如图1.1所示:CPU主机键盘控制数码管显示数码管显示开关控制数码管显示形式图1.1数字钟系统结构框图1.2 方案论证方案一1计数部分采用异步2-5-10进制计数器749

9、0,显示部分参考实验七。2计时用的“秒”脉冲信号可用实验板中提供的800Hz分频产生。800Hz信号同时作为动态扫描显示电路的时钟信号。3时、分校时控制,当校时按钮按下时,可对时、分计数器CP端输入秒脉冲来加速计数速度来达到校时目的。方案二 1.用单片机定时器中断原理实现数码管动态10ms循环扫描,同时完成计数功能,并经过多次中断产生“秒”信号。2.可控制按键实现时,分,秒加一,减一功能。考虑到设计硬件简单原则,利用单片机实现的数字时钟具有编程灵活,便于功能的扩充等优点,故本设计采用方案二。1.3扩展功能1.实现秒表功能。2.可以用于倒计时定时。3.可以时分秒清零。1.4原理本设计主要应用了单

10、片机原理,利用单片机的I/O口,由键盘控制I/O口,进行单片机相应的程序处理,再用I/O口控制数码管的显示。利用开关来控制开启,暂停计时,并切换时钟,秒表,倒计时定时器功能。1.5所需元器件 六位一体数码管,89C51单片机,7407芯片,12M晶振,按键若干,开关若干,电阻若干,电容若干,导线2、电子时钟 2.1 电子时钟简介 1957年,Ventura发明了世界上第一个电子表,从而奠定了电子时钟的基础,电子时钟开始迅速发展起来。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生一定的时间中断,用于一秒的定义,通过计数方式进行满六十秒分钟进一,满六十分小时进一,满二十四小时小时清零。

11、从而达到计时的功能,是人民日常生活补课缺少的工具。2.2电子时钟基本特点:通过功能转换键可实现月,日,时,分,秒,显示12制式,LED背光(可根据用户要求更改不同的背光颜色)显示直观,外观新颖抗干扰能力强,性能稳定。 技术参数:电压范围5V-50V,微功耗 应用范围:摩托车电动车 耐压:DC 30V 时间 5min低温:在-20的恒温冷柜中恒温2H,时钟工作正常高温:在90的恒温烘箱中恒温2H,时钟工作正常抗干扰性能:在摩托车怠速、加速状态,按喇叭时,时钟工作正常耐紫线照射性能:EPROMR紫外线擦除器照射时间 100小时引出线强度:外力 6Kg,铜接头与引线之间不脱落;引线不断裂时钟工作电流

12、: 1mA2.3电子时钟的原理:电子钟是用数字电路实现“时”、“分”、“秒”数字显示的计时装置,主要由振荡器、分频器、计数器、译码显示器、校时电路、报时电路等六部分组成。电子钟具有计时准确的功能。3.单片机识的相关知识 3.1单片机简介:单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。单片机的特点:3.2 89C51单片机介绍:出厂所配晶振频率为11.

13、0592MH,每个机器周期为1.085us,用户更换晶振以提高速度。存贮器为64K,前4K/8K20K在CPU内部,其它程序在EPR0M27512中。数据存贮器为32K(62256),地址为8000FFFFH。/O扩展8155,片内RAM地址200O-20FFH8155命令口地址为2100HA口地址21O1H B口地址:2102H C口地址:2103H T低八位2104H T高八位2105A/D采用精高度,速度快(25us)的AD574,并在其转换前对信号作了采样保持处理。AD574启动地址为:4000H 高八位地址为4002H低四位地址为:4003H多路模拟开关的使用IN0P1=0F8H I

14、N4P1=0FCH IN1P1=0F9H IN5:P1=OFDHIN2P1=0FAH IN5:P1=0FEH IN3P1=0FBH IN7:P1=0FFHP1.0按CD4051的C,P1.1按CD4051的B脚(10)、P1.2按CD4051的A脚(11)。 D/A采用0832输出,地址为6000H-6FFFH键盘显示(CZ2)采用8279,地址为:7FFEH-7FFFH日历时钟芯片DS12887,命令寄存器为5000H-500DH,不掉电数据存贮器为500EH-507FH控制板160x1O9(mm) 供电+5V300mA +12V100mA -12V100mA AT89C51是一种低功耗、高

15、性能的片内含有4KB快闪可编程/擦除只读存储器(FPEROM-Flash Programmable and Eraseable Read Only Memory)的8位CMOS微控制器,使用高密度、非易失存储技术制造,并且与80C51引脚和指令系统完全兼容。主要性能:与MCS-51 微控制器产品系列兼容。片内有4KB可在线重复编程的快闪擦写存储。 存储数据保存时间为10年。宽工作电压范围:Vcc可为2.7V到6V全静态工作:可从0Hz至16MHz程序存储器具有3级加密保护。128*8位内部RAM。32条可编程I/O线。两个16位定时器/计数器中断结构具有5个中断源和2个优先级。可编程全双工串行

16、通道。空闲状态维持低功耗和掉电状态保存存储内容四、单元电路设计、原理及器件选择 (一)石英晶体振荡器 1、重要概念的解释 (1) 反馈:将放大电路输出量的一部分或全部,通过一定的方式送回放大电路的输入端。 (2) 耦合:是指信号由第一级向第二级传递的过程。 2、石英晶体振荡器的具体工作原理 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它被广泛应用于彩电、计算机、遥控器等各类振荡电路中。它还具有压电效应:在晶体某一方向加一电场,晶体就会产生机械变形;反之,若在晶片的两侧施加机械压力,则在晶片相应的方向上将产生电场,这种物理现象称为压电效应。在这里,我们在晶体某一方向加一电场,从

17、而在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而使机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限制时,才达到最后稳定,这种压电谐振的频率即为晶体振荡器的固有频率。 用反相器与石英晶体构成的振荡电路如图2所示。利用两个非门G1和G2 自我反馈,使它们工作在线性状态,然后利用石英晶体JU来控制振荡频率,同时用电容C1来作为两个非门之间的耦合,两个非门输入和输出之间并接的电阻R1和R2作为负反馈元件用,由于反馈电阻很小,可以近似认为非门的输出输入压降相等。电容C2是为了防止寄生振荡。例如:电路中的石英晶体振荡频率是4MHz时,则电路的输出频率为4MHz

18、。 (二) 分频器1、8421码制,5421码制 用四位二进制码的十六种组合作为代码,取其中十种组合来表示0-9这十个数字符号。通常,把用四位二进制数码来表示一位十进制数称为二-十进制编码,也叫做BCD码,见表1。 表1 8421码 5421码 0 0000 0000 1 0001 0001 2 0010 0010 3 0011 0011 4 0100 0100 5 0101 1000 6 0110 1001 7 0111 1010 8 1000 1011 9 1001 1100 2、分频器的具体工作原理 由于石英晶体振荡器产生的频率很高,要得到秒脉冲,需要用分频电路。例如,振荡器输出4MHz

19、信号,通过D触发器(74LS74)进行4分频变成1MHz,然后送到10分频计数器(74LS90,该计数器可以用8421码制,也可以用5421码制),经过6次10分频而获得1Hz方波信号作为秒脉冲信号。(见图3) 3、图中标志的含义 CP输入的脉冲信号 C0进位信号 Q输出的脉冲信号 (三)计数器 秒脉冲信号经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位以及“时”个位、十位的计时。“秒”、“分”计数器为60进制,小时为24进制。 1、60进制计数器 (1) 计数器按触发方式分类 计数器是一种累计时钟脉冲数的逻辑部件。计数器不仅用于时钟脉冲计数,还用于定时、分频、产生节拍脉冲以及数字运

20、算等。计数器是应用最广泛的逻辑部件之一。按触发方式,把计数器分成同步计数器和异步计数器两种。对于同步计数器,输入时钟脉冲时触发器的翻转是同时进行的,而异步计数器中的触发器的翻转则不是同时。 (2)60进制计数器的工作原理 “秒”计数器电路与“分”计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成,如图4所示,采用两片中规模集成电路74LS90串接起来构成的“秒”、“分”计数器。图4 60进制计数电路IC1是十进制计数器,QD1作为十进制的进位信号,74LS90计数器是十进制异步计数器,用反馈归零方法实现十进制计数,IC2和与非门组成六进制计数。74LS90是在CP信号的下

21、降沿翻转计数,Q A1和 Q C2相与0101的下降沿,作为“分”(“时”)计数器的输入信号,通过与非门和非门对下一级计数器送出一个高电平1(在此之前输出的一直是低电平0)。Q B2 和Q C2计数到0110,产生的高电平1分别送到计数器的清零R0(1), R0(2),74LS90内部的R0(1)和R0(2)与非后清零而使计数器归零,此时传给下一级计数器的输入信号又变为低电平0,从而给下一级计数器提供了一个下降沿,使下一级计数器翻转计数,在这里IC2完成了六进制计数。由此可见IC1和 IC2串联实现了六十进制计数。 其中:74LS90可二/五分频十进制计数器 74LS04非门 74LS00二输

22、入与非门 2、24进制计数器 小时计数电路是由IC5和IC6组成的24进制计数电路,如图5所示。 当“时”个位IC5计数输入端CP5来到第10个触发信号时,IC5计数器自动清零,进位端QD5向IC6“时”十位计数器输出进位信号,当第24个“时”(来自“分”计数器输出的进位信号)脉冲到达时,IC5计数器的状态为“0100”,IC6计数器的状态为“0010”,此时“时”个位计数器的QC5和“时”十位计数器的QB6输出为“1”。把它们分别送到IC5和IC6计数器的清零端R0(1)和R0(2),通过7490内部的R0(1)和R0(2)与非后清零,从而完成24进制计数。 (四) 译码与显示电路 1、显示

23、器原理(数码管) 数码管是数码显示器的俗称。常用的数码显示器有半导体数码管,荧光数码管,辉光数码管和液晶显示器等。 本设计所选用的是半导体数码管,是用发光二极管(简称LED)组成的字形来显示数字,七个条形发光二极管排列成七段组合字形,便构成了半导体数码管。半导体数码管有共阳极和共阴极两种类型。共阳极数码管的七个发光二极管的阳极接在一起,而七个阴极则是独立的。共阴极数码管与共阳极数码管相反,七个发光二极管的阴极接在一起,而阳极是独立的。 当共阳极数码管的某一阴极接低电平时,相应的二极管发光,可根据字形使某几段二极管发光,所以共阳极数码管需要输出低电平有效的译码器去驱动。共阴极数码管则需输出高电平

24、有效的译码器去驱动。 2、译码器原理(74LS47) 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表2列出了74LS47的真值表,表示出了它与数码管之间的关系。 表2 :输 入 输 出 显示数字符号 LT() RBI(-) A3 A2 A1 A0 BI()/RBO() a() b() c() d() e() f() g() 1 1 0 0 0 0 1 0 0 0 0 0 0 1 0 1 X 0 0 0 1 1 1 0 0 1 1 1 1 1

25、1 X 0 0 1 0 1 0 0 1 0 0 1 0 2 1 X 0 0 1 1 1 0 0 0 0 1 1 0 3 1 X 0 1 0 0 1 1 0 0 1 1 0 0 4 1 X 0 1 0 1 1 0 1 0 0 1 0 0 5 1 X 0 1 1 0 1 1 1 0 0 0 0 0 6 1 X 0 1 1 1 1 0 0 0 1 1 1 1 7 1 X 1 0 0 0 1 0 0 0 0 0 0 0 8 1 X 1 0 0 1 1 0 0 0 1 1 0 0 9 X X X X X X 0 1 1 1 1 1 1 1 熄灭 1 0 0 0 0 0 0 1 1 1 1 1 1 1 熄

26、灭 0 X X X X X 1 0 0 0 0 0 0 0 8 (1)LT():试灯输入,是为了检查数码管各段是否能正常发光而设置的。当LT()=0时,无论输入A3 ,A2 ,A1 ,A0为何种状态,译码器输出均为低电平,若驱动的数码管正常,是显示8。 (2)BI():灭灯输入,是为控制多位数码显示的灭灯所设置的。BI()=0时。不论LT()和输入A3 ,A2 ,A1,A0为何种状态,译码器输出均为高电平,使共阳极数码管熄灭。 (3)RBI(-):灭零输入,它是为使不希望显示的0熄灭而设定的。当对每一位A3= A2 =A1 =A0=0时,本应显示0,但是在RBI(-)=0作用下,使译码器输出全

27、为高电平。其结果和加入灭灯信号的结果一样,将0熄灭。 (4)RBO():灭零输出,它和灭灯输入BI()共用一端,两者配合使用,可以实现多位数码显示的灭零控制。 3、译码器与显示器的配套使用 译码是把给定的代码进行翻译,本设计即是将时、分、秒计数器输出的四位二进制数代码翻译为相应的十进制数,并通过显示器显示,通常显示器与译码器是配套使用的。我们选用的七段译码驱动器(74LS47)和数码管(LED)是共阳极接法(需要输出低电平有效的译码器驱动)。译码显示电路如图6所示。 图6 译码显示电路(五)校时电路 1、RS触发器 图7 基本RS触发器R() S() Q Q() 说 明 0 1 1 0 1 1

28、 0 0 0 1 0或1 1 1 0 1或0 1 置0 置1 保持原来状态 不正常状态,0信号消失后,触发器状态不定 五电路与程序设计5.1 电路设计:图3.1总体电路图本系统的设计电路如图3.1所示,左边按键控制时分秒加一减一操作,右边开关切换数码管显示时钟,秒表,倒计时定时器等功能。单片机下方按键有复位功能:当在时钟方式时,此按键有清零作用;当在倒计时定时器方式时,此按键按下,时分秒均付为最大值。右上角是六位一体的数码管LED,用于显示。数码管左边的上拉电阻具有自动拉高P0I/O口的功能。数码管下方的7407芯片有限流作用,用于防止数码管电流过大而烧毁。5.2 程序设计 5.2.1 程序流

29、程图图5-2-1为主程序流程图,图5-2-2为定时器0程序流程图,图5-2-3为定时器1程序流程图。是否有按键拆分,即吧两位数放到数码管上跳到按键子程序While死循环初始化程序图5-2-1主程序流程图此为主程序流程图,初始化后,就一直在while里循环,并把秒,分,时分别拆成各位和十位两个数,放到相应的数码管上显示,并检测是否有按键按下,和定时器T0,T1溢出,来执行相应的键盘子程序和定时器0,1的中断程序。重新初始化T0t+,t0+秒分时计算,ss=60,则ss=0,mm+。分,时同理计算t0是否为2t0=0数码管循环显示一位显示相应数码管的数值第三个开关是否按下j=10j=610t是否为

30、jt=0开关2是否按下秒加一开关一是否按下开定时器1,关定时器0开定时器0,关定时器1进入主程序图5.2.2定时器0程序流程图此为定时器0流程图,在主程序检测到T0溢出时执行该子程序,该中断主要执行数码管循环显示数字,并检测到一秒后秒加一的功能。重新初始化T1t+,t0+秒分时计算,ss= -1,则ss=59,mm减一。分,时同理计算t0是否为2t0=0数码管循环显示一位显示相应数码管的数值t是否为jt=0开关2是否按下秒减一开关一是否按下开定时器1,关定时器0开定时器0,关定时器1进入主程序j=610,图5-2-3定时器1程序流程图此为定时器1流程图,在主程序检测到T1溢出时执行该子程序,该

31、中断程序主要执行数码管循环显示数字,并检测到一秒后秒减一的功能。5.2.3 系统板上硬件连线 (1)把“单片机系统”区域中的P1.0P1.7端口用8芯排线连接到“动态数码显示”区域中的AH端口上;(2)把“单片机系统:区域中的P3.0P3.7端口用8芯排线连接到“动态数码显示”区域中的S1S8端口上; (3)把“单片机系统”区域中的P0.0/AD0、P0.1/AD1、P0.2/AD2端口分别用导线连接到“独立式键盘”区域中的SP3、SP2、SP1端口上; 5.2.4. 汇编源程序 SECOND EQU 30H MINITE EQU 31H HOUR EQU 32H HOURK BIT P0.0

32、 MINITEK BIT P0.1 SECONDK BIT P0.2 DISPBUF EQU 40H DISPBIT EQU 48H T2SCNTA EQU 49H T2SCNTB EQU 4AH TEMP EQU 4BH ORG 00H LJMP START ORG 0BH LJMP INT_T0 START: MOV SECOND,#00H MOV MINITE,#00H MOV HOUR,#12 MOV DISPBIT,#00H MOV T2SCNTA,#00H MOV T2SCNTB,#00H MOV TEMP,#0FEH LCALL DISP MOV TMOD,#01H MOV TH

33、0,#(65536-2000) / 256 MOV TL0,#(65536-2000) MOD 256 SETB TR0 SETB ET0 SETB EA WT: JB SECONDK,NK1 LCALL DELY10MS JB SECONDK,NK1 INC SECOND MOV A,SECOND CJNE A,#60,NS60 MOV SECOND,#00H NS60: LCALL DISP JNB SECONDK,$ NK1: JB MINITEK,NK2 LCALL DELY10MS JB MINITEK,NK2 INC MINITE MOV A,MINITE CJNE A,#60,N

34、M60 MOV MINITE,#00H NM60: LCALL DISP JNB MINITEK,$ NK2: JB HOURK,NK3 LCALL DELY10MS JB HOURK,NK3 INC HOUR MOV A,HOUR CJNE A,#24,NH24 MOV HOUR,#00H NH24: LCALL DISP JNB HOURK,$ NK3: LJMP WT DELY10MS: MOV R6,#10 D1: MOV R7,#248 DJNZ R7,$ DJNZ R6,D1 RET DISP: MOV A,#DISPBUF ADD A,#8 DEC A MOV R1,A MOV

35、A,HOUR MOV B,#10 DIV AB MOV R1,A DEC R1 MOV A,B MOV R1,A DEC R1 MOV A,#10 MOVR1,A DEC R1 MOV A,MINITE MOV B,#10 DIV AB MOV R1,A DEC R1 MOV A,B MOV R1,A DEC R1 MOV A,#10 MOVR1,A DEC R1 MOV A,SECOND MOV B,#10 DIV AB MOV R1,A DEC R1 MOV A,B MOV R1,A DEC R1 RET INT_T0: MOV TH0,#(65536-2000) / 256 MOV TL

36、0,#(65536-2000) MOD 256 MOV A,#DISPBUF ADD A,DISPBIT MOV R0,A MOV A,R0 MOV DPTR,#TABLE MOVC A,A+DPTR MOV P1,A MOV A,DISPBIT MOV DPTR,#TAB MOVC A,A+DPTR MOV P3,A INC DISPBIT MOV A,DISPBIT CJNE A,#08H,KNA MOV DISPBIT,#00H KNA: INC T2SCNTA MOV A,T2SCNTA CJNE A,#100,DONE MOV T2SCNTA,#00H INC T2SCNTB MOV

37、 A,T2SCNTB CJNE A,#05H,DONE MOV T2SCNTB,#00H INC SECOND MOV A,SECOND CJNE A,#60,NEXT MOV SECOND,#00H INC MINITE MOV A,MINITE CJNE A,#60,NEXT MOV MINITE,#00H INC HOUR MOV A,HOUR CJNE A,#24,NEXT MOV HOUR,#00H NEXT: LCALL DISP DONE: RETI TABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,40H TAB: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FH END5.2.5定时器0中断程序:void timer0() interrupt 1 if(p32=1) j=610; else j=10;TH0=(65536-10001)/256; /重新初始化T0 TL0=(65536-1000

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号