毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc

上传人:仙人指路1688 文档编号:3979170 上传时间:2023-03-30 格式:DOC 页数:30 大小:448.50KB
返回 下载 相关 举报
毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc_第1页
第1页 / 共30页
毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc_第2页
第2页 / 共30页
毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc_第3页
第3页 / 共30页
毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc_第4页
第4页 / 共30页
毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于FPGA的单片机设计CPU的研究设计.doc(30页珍藏版)》请在三一办公上搜索。

1、. . 山东农业大学毕 业 论 文题目: 基于FPGA的单片机设计 CPU的研究设计 院 部 信息科学与工程学院 专业班级 电子信息科学与技术1班 届 次 2012 届 学生姓名 学 号 指导教师 二O一二 年 六 月 十六 日装订线. . . 目 录1 绪论11.1 EDA技术及其发展11.1.1 CAD 阶段11.1.2 CAE 阶段21.1.3 EDA 阶段21.2 可编程逻辑器件的发展概况31.3 FPGACPLD 概述41.4 软件:QUARTUS II61.5 项目研究意义62 单片机原理与分析82.1 80C51单片机内部结构82.2 CPU 结构82.3 80C51 的片内存储

2、器102.4 80C51的I/O 口及功能单元112.5 80C51的特殊功能寄存器(SFR)112.6 定时器/计数器112.7 中断系统112.8 单片机指令分析123 CPU 模块中CONTROL设计方法论述143.1 有限状态机的设计方法论述143.2 CONTROL(控制模块)164 存储器模块的 VHDL 语言实现194.1 RAM 单元的设计实现194.2 ROM 单元的设计实现195 结 论21参考文献22致 谢23附 录24Contents1 Introduction11.1 EDA technolog and its developmen11.1.1 CAD stage11

3、.1.2 CAE stage (the early 1980s, early 1990s 20th Century)21.1.3 EDA phase (since the 1990s) 21.2 Overview of the development of programmable logic devices31.3 The FPGA / the CPLD Overview41.4 Software: the Quartus II51.5 Significance of research projects62 Microcontroller Theory and Analysis82.1 80

4、51 the internal structure of82.2 CPU architecture82.3 The 80C51 on-chip internal memory102.4 The 80C51 I / O ports and functional units102.5 80C51 special function registers (SFRs)102.6 Timer / counter112.7 Interrupt system112.8 MCU instructions that an analysis113 Control design methods discussed i

5、n the CPU module133.1 The finite state machine design methods discussed133.2 CONTROL (control module)154 VHDL language of the memory module174.1 The design and implementation of the RAM cell174.2 Design and Implementation of the ROM unit175 Conclusions18References19Acknowledgments 21Appendix22基于FPGA

6、的单片机设计 CPU的研究设计【摘要】伴随着集成电路(IC)技术及EDA技术的发展,当前数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。FPGA以其功能强大,开发过程投资少、周期短,可反复修改,开发工具智能化等特点成为当今硬件设计的首选方式之一。由Intel公司的80c51系列单片机被公认为8位机的工业标准,因此,使用FPGA模拟实现8051单片机及其外设的功能便成为大规模复杂数字系统设计中的重要课题。本文首先综述了EDA技术和FPGA器件的发展概况;然后对8051单片机的原理进行介绍和分析;接着介绍使用FPGA进行开发设计的优点以及VHDL语言和Quartus软件的特点,接着重

7、点介绍单片机中CPU部分控制单元control的状态机的设计以及对于设计单片机指令的分析,最后对这次毕设的总结和对自己的这个设计的展望。【关键词】电子设计自动 VHDL语言FPGACPLD 8051单片机FPGA-based single-chip design- Internal CPU designYutao 【Abstract】Along with the development of integrated circuit (IC) technology and EDA technology, the design of digital systems is moving fast, l

8、arge capacity, small size, light weight and direction of development. FPGA with its powerful, less investment and development process, short cycle, can be repeatedly modified, intelligent development tools to become the preferred way to one of todays hardware design. T-the Intel 80C51 series microco

9、ntrollers is recognized as the industry standard 8-bit machine, so use the FPGA simulation to achieve the 8051 and its peripheral functions has become an important issue in the design of large and complex digital systems. This article first summarizes the overview of the development of EDA technolog

10、y and FPGA devices; then the principle of the 8051 introduction and analysis; then introduced the use of FPGAs for development and design advantages of the VHDL language and the Quartus software characteristics, and then focuses on the CPU part of the microcontrollercontrol unit for control of the s

11、tate machine design and analysis, the final summary of the complete set and outlook of their own design for the design of microcontroller instruction. 【Key words】electronic design automation (EDA), the VHDL language FPGA / CPLD 8051 microcontroll1 绪论 在计算机技术的推动下,20世纪末,电子技术获得了飞速的发展,现代电子产品几乎渗透到了社会的各个领域

12、,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品的性能进一步提高,产品更新换代的节奏也越来越快。 电子技术发展的根基是微电子技术的进步,它表现在大规模集成电路加工技术,即半导体工艺技术的发展上,表征半导体工艺水平的线宽已经达到60nm以下,并还在不断缩小;在硅片单位面积上集成了更多的晶体管,集成电路设计在不断地向超大规模,极低功耗和超高速的方向发展;专用集成电路ASIC(Application Specific Integrated Circuit)的设计成本不断降低,在功能上,现代的集成电路已能够实现单片电子系统SOC(System on a chip)的功能。另外

13、集成电路(IC)技术在微电子领域中占有重要的地位。且伴随着IC技术的发展,电子设计自动(Electronic Design Automation,EDA)已经逐渐成为重要的设计手段,其广泛应用于模拟与数字电路系统等许多领域。1.1 EDA技术及其发展 EDA(Electronic Design Automation)技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(分局布线),以及逻辑优

14、化和仿真测试,直至实现既定的电子线路系统功能。设计人员只需要完成对系统功能的描述,就可以由计算机软件进行处理,得到设计结果,而且修改设计如同修改软件一样方便,可以极大地提高设计效率。 EDA在硬件实现方面融合了大规模集成电路设计制造技术,IC版图设计技术,ASIC测试和封装技术,FPGA、CPLD编程编程下载技术、自动测试技术等;正是因为EDA技术丰富的内容以及与电子技术各学科领域的相关性,其发展的历程同大规模集成电路设计、计算机辅助教程、可编程逻辑器件,以及电子设计技术和工艺的发展是同步的。就过去近30年电子技术的发展历程,大致可以将EDA技术的发展分为三个阶段。1.1.1CAD 阶段(20

15、 世纪 60 年代中期20 世纪 80 年代初期) CAD(Computer Aided Design)是EDA技术发展的早期阶段,在这个阶段,人们开始利用计算机取代手工劳动。但当时的计算机硬件功能有限,软件功能较弱,人们主要借助计算机对所设计的电路进行一些模拟和预测,辅助进行集成电路版图编辑、印刷电路板PCB(Printed Circuit Board)布局布线等简单的版图绘制等工作。1.1.2 CAE 阶段(20 世纪 80 年代初期20 世纪 90 年代初期) CAE(Computer Aided Engineering)是在CAD的工具逐步完善的基础上展起来的,尤其是人们在设计方法学、

16、设计工具集成化方面取得了长足的进步,可以利用计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将许多单点工具集成在一起使用,大大提高了工作效率。1.1.3 EDA 阶段(20 世纪 90 年代以来) 20世纪90年代以来,微电子工艺有了惊人的发展,工艺水平已经达到了深亚微米级,在一个芯片上已经可以集成上百万乃至上亿只晶体管,芯片速度达到了吉比特/秒量级,百万门以上的可编程逻辑器件陆续面世,这样就对电子设计的工具提出了更高的要求,提供了广阔的发展空间,促进了EDA技术的形成。特别重要的是世界各EDA公司致力推出兼容各种硬件实现方案和支持标准硬件描述语言的EDA工具软件,都有效地将EDA技

17、术推向成熟。此阶段主要出现了以高级语言描述、系统仿真和综合技术为特征的第三代EDA技术,不仅极大地提高了系统的设计效率,而且使设计人员摆脱了大量的辅助性及基础性工作,将精力集中于创造性的方案与概念的构思上,下面简单介绍这个阶段EDA技术的主要特征:(1)高层综合(HLS,High Level Synthesis)的理论与方法取得较大进展,将 EDA设计层次由RT级提高到了系统级(又称行为级),并划分为逻辑综合和测试综合。逻辑综合就是对不同层次和不同形式的设计描述进行转换,通过综合算法,以具体的工艺背景实现高层目标所规定的优化设计,通过设计综合工具,可将电子系统的高层行为描述转换到低层硬件描述和

18、确定的物理实现,使设计人员无须直接面对低层电路,不必了解具体的逻辑器件,从而把精力集中到系统行为建摸和算法设计上。测试综合是以设计结果的性能为目标的综合方法,以电路的时序、功耗、电磁辐射和负载能力等性能指标为综合对象。(2)采用硬件描述语言HDL(Hardware Description Language)来描述10万门以上的设计,并形成了VHDL(Very High Speed Integrated Circuit HDL)和Verilog HDL两种标准硬件描述语言。它们均支持不同层次的描述,使得复杂IC的描述规范化,便于传递、交流、保存与修改,也便于重复使用。它们多应用于FPGA/CPL

19、D/EPLD的设计中。(3)可测性综合设计。随着ASIC的规模与复杂性的增加,测试难度与费用急剧上升,由此产生了将可测性电路结构制作在ASIC芯片上的想法,于是开发了扫描插入、BLS(内建自测试)、边界扫描等可测性设计(DFT)工具,并已集成到EDA系统中。(4)为带有嵌入IP模块的ASIC设计提供软硬件协同系统设计工具。协同验证弥补了硬件设计和软件设计流程之间的空隙,保证了软硬件之间的同步协调工作。(5)建立并行设计工程 CE(Concurrent Engineering)框架结构的集成化设计环境,以适应当今ASIC的如下一些特点:数字与模拟电路并存,硬件与软件设计并存,产品上市速度要快。在

20、这种集成化设计环境中,使用统一的数据管理系统与完善的通讯管理系统,由若干相关的设计小组共享数据库和知识库,并行地进使行设计,而且在各种平台之间可以平滑过度。1.2可编程逻辑器件的发展概况 早期的可编程逻辑器件只有可编程只读存贮器(PROM)、紫外线可按除只读存贮(EPROM)和电可擦除只读存贮器(EEPROM)三种。由于结构的限制,它们只能完成简单的数字逻辑功能。其后,出现了一类结构上稍复杂的可编程芯片,即可编程逻辑器件(PLD),它能够完成各种数字逻辑功能。典型的PLD由一个“与”门和一个“或”门阵列组成,而任意一个组合逻辑都可以用“与一或”表达式来描述,所以, PLD能以乘积和的形式完成大

21、量的组合逻辑功能。这一阶段的产品主要有PAL(可编程阵列逻辑)和GAL(通用阵列逻辑)。 PAL由一个可编程的“与”平面和一个固定的“或”平面构成,或门的输出可以通过触发器有选择地被置为寄存状态。 PAL器件是现场可编程的,它的实现工艺有反熔丝技术、EPROM技术和EEPROM技术。还有一类结构更为灵活的逻辑器件是可编程逻辑阵列(PLA),它也由一个“与”平面和一个“或”平面构成,但是这两个平面的连接关系是可编程的。 PLA器件既有现场可编程的,也有掩膜可编程的。 在PAL的基础上,又发展了一种通用阵列逻辑GAL (Generic Array Logic),如GAL16V8,GAL22V10

22、等。它采用了EEPROM工艺,实现了电可按除、电可改写,其输出结构是可编程的逻辑宏单元,因而它的设计具有很强的灵活性,至今仍有许多人使用。 这些早期的PLD器件的一个共同特点是可以实现速度特性较好的逻辑功能,但其过于简单的结构也使它们只能实现规模较小的电路。 图1.1 PLD图为了弥补这一缺陷,20世纪80年代中期。 Altera和Xilinx分别推出了类似于PAL结构的扩展型 CPLD(Complex Programmab1e Logic Dvice)和与标准门阵列类似的FPGA(Field Programmable Gate Array),它们都具有体系结构和逻辑单元灵活、集成度高以及适用

23、范围宽等特点。 这两种器件兼容了PLD和通用门阵列的优点,可实现较大规模的电路,编程也很灵活。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。1.3 FPGACPLD 概述FPGA(现场可编程门阵列)与 CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PAL,GAL等逻辑器件的基础之上发

24、展起来的。同以往的PAL,GAL等相比较,FPGACPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGACPLD实际上就是一个子系统部件。这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。经过了十几年的发展,许多公司都开发出了多种可编程逻辑器件。比较典型的就是Xilinx公司的FPGA器件系列和Altera公司的CPLD器件系列,它们开发较早,占用了较大的PLD市场。通常来说,在欧洲用Xilinx的人多,在日本和亚太地区用ALTERA的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲Altera和Xilinx

25、共同决定了PLD技术的发展方向。当然还有许多其它类型器件,如:Lattice,Vantis,Actel,Quicklogic,Lucent等。 (99年Lattice收购了Vantis,成为第三大PLD供应商;同年Xilinx收购了Philips的PLD部门)详细内容请浏览:表1.2 2002年世界十大PLD公司排名公司 销售额(亿美金)市场占有率1Altera 5.96 30.12Xilinx 5.74 29.03Vantis 2.20 11.14Lattice 2.18 11.05Actel 1.39 7.06Luccent 0.85 4.37Cypress 0.44 2.28Atmel

26、0.42 2.19Philips 0.28 1.410Quicklogic 0.24 1.2尽管FPGA,CPLD和其它类型PLD的结构各有其特点和长处,但概括起来,它们是由三大部分组成的,一个二维的逻辑块阵列,构成了PLD器件的逻辑组成核心。输入输出块:连接逻辑块的互连资源。连线资源:由各种长度的连线线段组成,其中也有一些可编程的连接开关,它们用于逻辑块之间、逻辑块与输入输出块之间的连接。 图1.3 典型的PLD的框图对用户而言,CPLD与FPGA的内部结构稍有不同,但用法一样,所以多数情况下,不加以区分。FPGACPLD芯片都是特殊的ASIC芯片,它们除了具有ASIC的特点之外,还具有以下

27、几个优点:随着VlSI(Very Large Scale IC,超大规模集成电路)工艺的不断提高单一芯片内部可以容纳上百万个晶体管, FPGACPLD芯片的规模也越来越大,其单片逻辑门数已达到上百万门,它所能实现的功能也越来越强,同时也可以实现系统集成。FPGACPLD芯片在出厂之前都做过百分之百的测试,不需要设计人员承担投片风险和费用,设计人员只需在自己的实验室里就可以通过相关的软硬件环境来完成芯片的最终功能设计。所以, FPGACPLD的资金投入小,节省了许多潜在的花费。用户可以反复地编程、擦除、使用或者在外围电路不动的情况下用不同软件就可实现不同的功能。所以,用FPGAPLD 试制样片,

28、能以最快的速度占领市场。 FPGACPLD软件包中有各种输入工具和仿真工具,及版图设计工具和编程器等全线产品,电路设计人员在很短的时间内就可完成电路的输入、编译、优化、仿真,直至最后芯片的制作。 当电路有少量改动时,更能显示出FPGACPLD的优势。电路设计人员使用FPGACPLD进行电路设计时,不需要具备专门的IC(集成电路)深层次的知识, FPGACPLD软件易学易用,可以使设计人员更能集中精力进行电路设计,快速将产品推向市场。1.4软件:Quartus IIQuartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera

29、 Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。1.5项目研究意义现有的电子系统设计多数采用微控制器为核心,辅以必要的外围器件如ADC、DAC和存储器等,这样的系统做出来的线路板较大,且调试复杂;而且如果系统内有高速器件,则微控制器的选

30、择将会是个困难;虽然然可通过程序升级增加或改进功能,但硬件一旦设计完成,是无法更改的。FPGA(现场可编程门阵列)与 CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,它们是在PAL,GAL等逻辑器件的基础之上发展起来的。同以往的PAL,GAL等相比较,FPGACPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGACPLD实际上就是一个系统部件。由于其应用的灵活性,这种芯片受到世界范围内电子工程设计人员的广泛关注和普遍欢迎。单片机自 20 世纪 80 年代引入我国以来,学习和应用单片机的热潮始终不减,特别是MCS51系列。实际上,从应用通用数字集成电路系统,到广泛应用单片机

31、,是我国电子设计在智能化应用水平上质的飞跃。据统计分析,单片机的销量到目前为止依然逐年递增,而且在很长一段时间内,单片机依然会是电子设计的主角,不过这一地位已经受到了复杂PLD器件FPGA/CPLD的挑战。虽然单片机长期稳定的发展和使用使得单片机的性价比非常高,而且积累了大量的资料并拥有大量的工程技术人员,这一点是复杂PLD器件目前难以达到的。但是,单片机的缺点也是有目共睹:首先是速度低。即使是高速度单片机也只能工作在s 级,这是由单片机串行工作的特点所决定的。其次是低可靠性。虽然目前有很多器件与设计在一定程度上解决了部分问题,如看门狗的广泛应用,但在某些情况下瞬间的复位也会造成严重的后果。而

32、当前流行的复杂PLD器件FPGA/CPLD,不但克服了单片机的缺点,而且由于可采用 HDL 等硬件描述语言编程,进一步打破了软硬件工程师的界限,加速了产品的开发过程,使纯数字电路的设计变得简单,人们甚至讨论用C语言作为下一代硬件描述语言。所以有专家指出,电子设计的单片机时代即将过去,而以PLD为核心的EDA将是未来设计的方向。由于超大规模集成电路技术的发展,单片PLD芯片上已经可以集成上百万门,就系统规模而言,将单片机设计在内也没什么问题。美国的可编程器件厂商已经用软核和硬核嵌入两种形式为设计人员提供帮助。PLD器件取代单片机是早晚的事。但是,在我国目前的普遍应用中,单纯依靠PLD 器件还有点

33、早。主要原因如下:由于历史原因,我国的电子设计人员大部分应用的是80c51单片机,这么多人改学其它PLD器件的使用方法还需要一段时间,而且原有的程序更使人不愿改动,这是其一;其二,单片机价格低廉,而PLD器件如果增加单片机内核的话,至少在目前的形势下价格会比较昂贵。不过,有鉴于当前FPGA/CPLD器件的发展速度可见,在FPGA中嵌入单片机的软核或硬核是一种必然趋势,所以,本课题要在这方面做一种尝试,用FPGA来实现单片机的设计,希望能为FPGA的进一步应用和快速的发展提供一种借鉴和方法。2单片机原理与分析单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Uni

34、t),单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。它的特点是:高性能、高速度、体积小、价格低廉、应用广泛。MCS-51 是美国 Intel 公司的八位单片机系列,是在 MCS-48 系列基础上发展而成的,也是我国目前应用最广的一种单片机系列在这个系列里,有多种机型,本章以 8051为目标来叙述MCS-51的内部结构、引脚功能、工作方式和时序,并对其

35、进行分析,提出可改进之处。21 8051 单片机内部结构图2.1 80C51单片机的内部结构8051 单片机内部包含了作为微型计算机所必需的基本功能部件,各功能部件相互独立而融为一体,集成在同一块芯片上。8051内部结构如图2-12.2 CPU 结构图2.2 单片机内部CPU结构图8051 内部 CPU 是一个字长为八位二进制的中央处理单元,也就是说它对数据的处理是按字节为单位进行的。8051 内部 CPU 是由运算器(ALU)、控制器(定时控制部件等)和专用寄存器组三部分电路组成。1、运算器运算器由算术/逻辑运算单元 ALU 、累加器 ACC、寄存器 B 、暂存寄存器、程序状态字寄存器 PS

36、W 组成。它完成的任务是实现算术和逻辑运算、位变量处理和数据传送等操作。 80C51 的ALU 功能极强,既可实现 8 位数据的加、减、乘、除算术运算和与、或、异或、循环、求补等逻辑运算,同时还具有一般微处理器所不具备的位处理功能。累加器ACC 用于向 ALU 提供操作数和存放运算的结果。在运算时将一个操作数经暂存器送至 ALU ,与另一个来自暂存器的操作数在 ALU中进行运算,运算后的结果又送回累加器ACC 。同一般微机一样,80C51 单片机在结构上也是以累加器 ACC为中心,大部分指令的执行都要通过累加器 ACC 进行。但为了提高实时性,80C51 的一些指令的操作可以不经过累加器 AC

37、C,如内部RAM 单元到寄存器的传送和一些逻辑操作。寄存器 B 在乘、除运算时用来存放一个操作数,也用来存放运算后的一部分结果。在不进行乘、除运算时,可以作为普通的寄存器使用。 暂存寄存器用来暂时存放数据总线或其他寄存器送来的操作数。它作为 ALU的数据输入源,向 ALU 提供操作数。 程序状态字寄存器 PSW 是状态标志寄存器,它用来保存 ALU 运算结果的特征(如结果是否为 0,是否有溢出等)和处理器状态。这些特征和状态可以作为控制程序转移的条件,供程序判别和查询。 2、控制器 同一般微处理器的控制器一样,80C51 的控制器也由指令寄存器 IR 、指令译码器 ID 、定时及控制逻辑电路和

38、程序计数器 PC 等组成。 程序计数器 PC 是一个 16 位的计数器 (注:PC 不属于特殊功能寄存器 SFR 的范畴)。它 总是存放着下一个要取的指令的 16 位存储单元地址。也就是说,CPU总是把 PC 的内容作为地址,从内存中取出指令码或含在指令中的操作数。因此,每当取完一个字节后,PC 的内容自动加 1,为取下一个字节做好准备。只有在执行转移、子程序调用指令和中断响应时例外,那时PC 的内容不再加 1,而是由指令或中断响应过程自动给 PC 置入新的地址。单片机上电或复位时,PC 自动清0,即装入地址 0000H,这就保证了单片机上电或复位后,程序从 0000H 地址开始执行。指令寄存

39、器 IR 保存当前正在执行的一条指令。执行一条指令,先要把它从程序存储器取到指令寄存器中。指令内容含操作码和地址码,操作码送往指令译码器 ID ,并形成相应指令的微操作信号。地址码送往操作数地址形成电路以便形成实际的操作数地址。定时与控制是微处理器的核心部件,它的任务是控制取指令、执行指令、存取操作数或运算结果等操作,向其他部件发出各种微操作控制信号,协调各部件的工作。80C51 单片机片内设有振荡电路,只需外接石英晶体和频率微调电容就可产生内部时钟信号。2.3 80C51 的片内存储器80C51单片机的片内存储器与一般微机的存储器的配置不同。一般微机的ROM和RAM安排在同一空间的不同范围(

40、称为普林斯顿结构)。而80C51单片机的存储器在物理上设计成程序存储器和数据存储器两个独立的空间(称为哈佛结构)。基本型单片机片内程序存储器容量为4KB,地址范围是0000H0FFFH。增强型单片机片内程序存储器容量为8KB,地址范围是0000H1FFFH。基本型单片机片内数据存储器为128B,地址范围是00H7FH,用于存放运算的中间结果、暂存数据和数据缓冲。这128B的低32个单元用作工作寄存器,32个单元分成4组,每组8个单元。在20H2FH共16个单元是位寻址区,位地址的范围是00H7FH。然后是80个单元的通用数据缓冲区。增强型单片机片内数据存储器为256B,地址范围是00HFFH。

41、低128B的配置情况与基本型单片机相同。高128B为一般RAM,仅能采用寄存器间接寻址方式访问(注意:与该地址范围重叠的特殊功能寄存器SFR空间采用直接寻址方式访问)。2.4 80C51的I/O 口及功能单元80C51单片机有4个8位的并行口,即P0P3。它们均为双向口,既可作为输入,又可作为输出。每个口各有8条I/O 线。80C51单片机还有一个全双工的串行口(利用P3口的两个引脚P3.0和P3.1)。80C51单片机内部集成有2个16位的定时/计数器(增强型单片机有3个定时/计数器)。80C51单片机还具有一套完善的中断系统。2.5 80C51的特殊功能寄存器(SFR) 80C51单片机内部有SP、DPTR(可

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号