电梯EDA设计 电气毕业论文.doc

上传人:laozhun 文档编号:3989358 上传时间:2023-03-30 格式:DOC 页数:21 大小:102KB
返回 下载 相关 举报
电梯EDA设计 电气毕业论文.doc_第1页
第1页 / 共21页
电梯EDA设计 电气毕业论文.doc_第2页
第2页 / 共21页
电梯EDA设计 电气毕业论文.doc_第3页
第3页 / 共21页
电梯EDA设计 电气毕业论文.doc_第4页
第4页 / 共21页
电梯EDA设计 电气毕业论文.doc_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《电梯EDA设计 电气毕业论文.doc》由会员分享,可在线阅读,更多相关《电梯EDA设计 电气毕业论文.doc(21页珍藏版)》请在三一办公上搜索。

1、摘 要随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA功能强大,一台计算机、一套EDA软件和一片或几片大规模可编程芯(CPLD/FPGA或ispPAC),就能完成电子系统的设计。EDA技术涉及面广,内容丰富,但在教学和技术推广层面上,应用较为广泛的是基于可编程器件的EDA技术,它主要包括如下四大要素:1大规模可编程器件,它是利用EDA技术进行电子系统设计的载体;2硬件描述语言,

2、它是利用EDA技术进行电子系统设计的主要手段;3软件开发工具,它是利用EDA技术进行电子系统的智能化的自动化设计工具;4实验开发系统,它是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。 在此次EDA设计中,首先我们要熟悉EDA工具的使用过程,然后在此基础上再自主设计三层电梯的程序,并进行调试和运行及时域仿真,加深我们对EDA工具的了解。关键词三层电梯,VHDL,可编程逻辑器件AbstractWith the EDA technology development and application of the expansion of the field and in-depth, ED

3、A technologies in the electronic information, communication, automation and computer applications in areas such as the importance of prominent. As the technology market and the talent market for EDA continuously raise the demand, the market demand for products and technology market requirements will

4、 certainly be reflected in the field of teaching and research in the field. Thus we learn of the EDA technologies have great benefits. EDA is powerful, a computer, a set of EDA software and a tablet or a few large-scale programmable core (CPLD / FPGA or ispPAC), will be able to complete the design o

5、f electronic systems. EDA technologies involved in a broad area, rich in content, but in teaching and technology promotion level, the wider application is based on the EDA programmable device technology, it mainly includes the following four elements: 1a large-scale programmable devices, 2 it is EDA

6、 technologies for the use of electronic system design carrier; hardware description language, it is to use EDA technologies for electronic system design of the main means of three software development tools, 3 it is the use of EDA technologies for the intelligent electronic system design automation

7、tools; Experimental development system,4 it is to use EDA technologies for electronic system designed to download tools and hardware verification tools. KeywordsTHREELIFT, VHDL, CPLD目 录摘 要IAbstractII1引 言21.1 EDA和VHDL介绍21.1.1 EDA介绍21.1.2 VHDL介绍21.1.3 VHDL语言的特点32 系统设计42.1 设计要求42.2 设计原理42.2.1 程序原理42.2.

8、2 原理框图72.3源程序和仿真波形图82.3.1 源程序82.3.2仿真波形图15结 论16致 谢17参考文献181引 言1.1 EDA和VHDL介绍1.1.1 EDA介绍EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、

9、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。1.1.2 VHDL介绍VHDL是一种用来描述数字逻辑系统的“编程语言”它的全名是Very-High-Speed Integrated Circuit Hardware Description Language。它源于美国政府于1980年开始启动的超高速集成电路计划,VHDL主要用于描述数字系统的结构,行为,功能和接

10、口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。VHDL的应用必将成为当前以及未来EDA解决方案的核心,更是整个电子逻辑系统设计的核心。1.1.3 VHDL语言的

11、特点VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL丰

12、富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。2 系统设计2.1 设计要求电梯控制器是控制电梯按客户要求自动上

13、下的装置。三层电梯控制器的功能如下:1) 每层电梯入口处设有请求开关,电梯内设有顾客到达层次的停站请求开关。2) 设有电梯入口处位置指示装置及电梯运行模式(上升或者下降)指示装置。3) 电梯每秒升(将)层楼。4) 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门5秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至最后一个请求信号后停留在当前层。5) 能记忆电梯内所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。6) 电梯运行规则当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由上而下逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则

14、直接升到由下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反。7) 电梯初始状态为一层开门状态。2.2 设计原理2.2.1 程序原理1).CASE语句CASE语句属于顺序语句,因此必须放在进程语句中使用,CASE语句的一般表达式是:CASE ISWHEN =;WHEN =;END CASE;当执行到CASE语句时,首先计算的值,然后根据WHEN条件句中与之相同的,执行对应的,最后结束CASE语句。条件句中的=“”不是操作符,它的含义相当于THEN(或“于是”)。使用CASE语句应该注意以下几点:WHEN条件句中的选择值或标志符所代表的值必须在表达式的取值范围内。除非所以

15、条件句中的选择值能完全覆盖CASE语句中表达式的取值,否则最末一个条件句中的选择必须如教材例那样用OTHERS关键词表示以上已列的所有条件句中的未能列出的其他可能的取值。OTHERS只能出现一次,且只能作为最后一种条件取值。使用OTHERS的目的是为了使条件句中的所有选择值能涵盖表达式的所有取值,以免综合器会插入不必要的锁存器。关键词NULL表示不做任何操作。CASE语句中的选择值只能出现一次,不允许有相同选择值的条件语句出现。CASE语句执行中必须选中,且只能选中所列条件语句中的一条。2).IF语句IF语句是VHDL设计中最重要和最常用的语句,以下对IF语句的语法表达方式作一个概述。IF语句

16、作为一种条件语句,它根据语句中所设置的一种或多种条件,有选择地执行制定的顺序语句。IF语句的语句结构有以下两种:1)IF 条件句THEN 顺序语句END IF;2)IF 条件语句THEN顺序语句ELSE 顺序语句END IF;3)IF 条件语句 THEN IF 条件句 THENENDIFEND IF 4) IF 条件句 THEN顺序句ELSIF 条件句THEN顺序语句ELSE顺序语句 END IF;IF语句中至少应有一个条件句,“条件句”可以是BOOLEAN类型的标志符,如IF A1 THEN ,或者是一个判别表达式,如IF ATHEN ,判别表达式输出的值,即判断结果的数据类型是BOOLEA

17、N。IF语句根据条件语句产生的判断结果是TRUE或是FALSE,有条件的选择执行其后的顺序语句。2.2.2 原理框图 图2系统原理图注:电梯的控制状态包括运行状态、停止状态及等待状态,其中运行状态又包含向上状态和向下状态。主要动作有开、关门,停靠和启动。乘客可通过键入开、关门按钮,呼唤按钮,指定楼层按钮等来控制电梯的行与停。据此,整个电梯控制器应包括如下几个组成部分: 时序输出及楼选计数器; 电梯服务请求处理器; 电梯升降控制器; 上升及下降寄存器; 电梯次态生成器。该电梯控制器设计的关键是确定上升及下降寄存器的置位与复位。整个系统的内部组成结构图如图2所示。2.3源程序和仿真波形图2.3.1

18、 源程序LIBRARY IEEE; 库说明USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THREEFLIFT IS 定义实体 PORT(BUTTONCLK:IN STD_LOGIC; LIFTCLK:IN STD_LOGIC; 脉冲信号 RESET:IN STD_LOGIC; F1UPBUTTON,F2UPBUTTON, F2DNBUTTON,F3DNBUTTON:IN STD_LOGIC; 外部请求信号 STOP1BUTTON,STOP2BUTTO

19、N,STOP3BUTTON:IN STD_LOGIC; 内部请求信号 POSITION:BUFFER INTEGER RANGE 1 TO 3; 电梯位置 DOUT1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); 位置显示 DOORLIGHT:OUT STD_LOGIC; 开门灯 UDSIG:BUFFER STD_LOGIC);END; 电梯运行方向 ARCHITECTURE A OF THREEFLIFT IS 结构体TYPE LIFT_STATE IS(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,D

20、OORWAIT4, DOORWAIT5,UP,DOWN,STOP); 电梯可能状态SIGNAL FUPLIGHT,FDNLIGHT,STOPLIGHT:STD_LOGIC_VECTOR(3 DOWNTO 1); 内外部请求信号SIGNAL MYLIFT:LIFT_STATE;SIGNAL CLEARUP:STD_LOGIC; 现在状态SIGNAL CLEARDN:STD_LOGIC; 清除信号BEGINCTRLIFT:PROCESS(RESET,LIFTCLK)VARIABLE POS:INTEGER RANGE 3 DOWNTO 1; 定义变量BEGIN IF RESET=1 THEN MY

21、LIFT=STOPON1;CLEARUP=0; CLEARDNDOORLIGHT=1;POSITION=1;POS:=1;MYLIFT MYLIFTMYLIFT=DOORWAIT3; CLEARUP=0; CLEARDNMYLIFTMYLIFTMYLIFTDOORLIGHT=0; IF UDSIG=0 THEN 上升状态 IF POSITION=3 THEN 在3楼 IF A STOPLIGHT=000AND FUPLIGHT=000AND FDNLIGHT=000 THEN UDSIG=1; MYLIFT=DOORCLOSE; elsif STOPLIGHT(2)=1OR(STOPLIGHT

22、(2)=0AND FDNLIGHT(2)=1)THEN B UDSIG=1; MYLIFT=doorclose; elsif STOPLIGHT(2)=1OR(STOPLIGHT(2)=0AND FupLIGHT(2)=1)THEN C UDSIG=0; MYLIFT=UP; ELSE UDSIG=1;MYLIFT=DOWN; D END IF; ELSIF POSITION=2 THEN 在2层 IF STOPLIGHT=000AND FUPLIGHT=000AND FDNLIGHT=000 THEN A1 UDSIG=0; MYLIFT=DOORCLOSE; ELSIF FDNLIGHT(

23、2)=1 THEN B1 UDSIG=1; MYLIFT=DOORCLOSE; ELSIF STOPLIGHT(3)=1OR(STOPLIGHT(3)=0AND FDNLIGHT(3)=1)THEN C1 UDSIG=0; MYLIFT=UP; ELSIF STOPLIGHT(1)=1OR(STOPLIGHT(1)=0AND FDNLIGHT(2)=1)THEN D1 UDSIG=1; MYLIFT=DOORCLOSE; ELSE UDSIG=1;MYLIFT=DOWN; E1 END IF; ELSIF POSITION=1 THEN 在一层 IF STOPLIGHT=000AND FUPL

24、IGHT=000AND FDNLIGHT=000 THEN A2 UDSIG=0; MYLIFT=DOORCLOSE; ELSIF STOPLIGHT(3)=1OR(STOPLIGHT(3)=0AND FDNLIGHT(3)=1)THEN B2 UDSIG=0; MYLIFT=UP; ELSIF STOPLIGHT(1)=1OR(STOPLIGHT(1)=0AND FDNLIGHT(2)=1)THEN C2 UDSIG=1; MYLIFT=DOORCLOSE; ELSE UDSIG=0; D2MYLIFT=UP; END IF; END IF;ELSIF UDSIG=1 THEN 电梯下降 I

25、F POSITION=1 THEN 一层 IF STOPLIGHT=000AND FUPLIGHT=000AND FDNLIGHT=000 THEN UDSIG=0; MYLIFT=DOORCLOSE; ELSIF STOPLIGHT(1)=1OR(STOPLIGHT(1)=0AND FUPLIGHT(1)=1)THEN UDSIG=1; MYLIFT=DOORCLOSE; ELSE UDSIG=0;MYLIFT=UP; END IF; ELSIF POSITION=2 THEN 二层 IF STOPLIGHT=000AND FUPLIGHT=000AND FDNLIGHT=000 THEN

26、UDSIG=1; MYLIFT=DOORCLOSE; ELSIF FUPLIGHT(2)=1 THEN UDSIG=0; MYLIFT=DOORCLOSE; ELSIF STOPLIGHT(1)=1OR(STOPLIGHT(1)=0AND FUPLIGHT(1)=1)THEN UDSIG=1; MYLIFT=DOWN; ELSE UDSIG=0;MYLIFT=UP; END IF; ELSIF POSITION=3 THEN 三层 IF STOPLIGHT=000AND FUPLIGHT=000AND FDNLIGHT=000 THEN UDSIG=1; MYLIFT=DOORCLOSE; E

27、LSE UDSIG=1;MYLIFT 上升操作 POSITION=POSITION+1; POS:=POS+1; IF POS3 AND(STOPLIGHT(POS)=1OR FDNLIGHT(POS)=1) THEN MYLIFT=STOP; ELSIF POS3 AND(STOPLIGHT(POS)=1OR FUPLIGHT(POS)=1) THEN MYLIFT=STOP; ELSIF POS=3 AND (STOPLIGHT(POS)=1OR FDNLIGHT(POS)=1)THEN MYLIFT=STOP; ELSE MYLIFT 下降操作 POSITION1 AND (STOPLI

28、GHT(POS)=1OR FDNLIGHT(POS)=1)THEN MYLIFT=STOP; ELSIF POS=1 AND (STOPLIGHT(POS)=1OR FUPLIGHT(POS)=1)THEN MYLIFT=STOP; ELSE MYLIFTMYLIFTDOORLIGHT=1; 延时1秒开门 IF UDSIG=0THEN IF POSITION=2 AND (STOPLIGHT(POS)=1OR FUPLIGHT(POS)=1)THEN CLEARUP=1; ELSE CLEARUP=1;CLEARDN=2 AND (STOPLIGHT(POS)=1OR FDNLIGHT(POS

29、)=1)THEN CLEARDN=1; ELSE CLEARUP=1;CLEARDN=1; END IF; END IF; MYLIFT=DOORWAIT1; END CASE; END IF; END IF; END PROCESS CTRLIFT;CTRLIGHT:PROCESS(RESET,BUTTONCLK) 按钮确认进程BEGIN IF RESET=1 THENSTOPLIGHT=000; FUPLIGHT=000; FDNLIGHT=000; ELSE IF BUTTONCLKEVENT AND BUTTONCLK=1 THEN IF CLEARUP=1 THEN STOPLIGH

30、T(POSITION)=0;FUPLIGHT(POSITION)=0; ELSE IF F1UPBUTTON=1 THEN FUPLIGHT(1)=1; ELSIF F2UPBUTTON=1 THEN FUPLIGHT(2)=1; END IF; END IF; IF CLEARDN=1 THEN STOPLIGHT(POSITION)=0;FDNLIGHT(POSITION)=0; ELSE IF F2DNBUTTON=1 THEN FDNLIGHT(2)=1; ELSIF F3DNBUTTON=1 THEN FDNLIGHT(3)=1; END IF; END IF; IF STOP1BU

31、TTON=1 THEN STOPLIGHT(1)=1; ELSIF STOP2BUTTON=1 THEN STOPLIGHT(2)=1; ELSIF STOP3BUTTON=1 THEN STOPLIGHT(3)=1; END IF; END IF; END IF;END PROCESS;process(POSITION) 位置显示进程beginif POSITION=1 then dout1=0001;END IF;if POSITION=2 then dout1=0010;END IF;if POSITION=3 then dout1=0011;END IF;end process;END

32、;2.3.2仿真波形图注:电梯在一层,2楼有上升请求,3电梯内有人去3楼,电梯经过5秒等待关门进入上升状态。电梯经过2楼开门载客,经过5秒关门继续上3楼。注:电梯在3楼处于下降状态,2楼有下楼的信号和有去1楼的信号,电梯先去2楼载客,再去1楼。图2原器件图2原器件结 论这次为时一周的课程设计,主要是以上机操作为主。这次设计语言主要是采用vhdl语言的自顶向下的设计方法。EDA中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程应用vhdl运行自顶向下的设计,就是使用vhdl模型在所有综合级别上对硬件进行说明、建模和仿真测试。我的设计思路比较简单,最先是参考课本用简单的门电路和时

33、序脉冲编写了一段“自动电梯”程序。程序在通过调试运行,下载到硬件上实现的时候,没有数码显示,直接是用二级管的指示灯的跳换来表示电梯的状态。频率选折1HZ时,二级管在“01”、“10”、“11”之间跳变,分别表示电梯的1、2、3层。另有两个二极管灯,其中一个二极管指示灯的工作状态表示电梯的开关门,另一个表示电梯的上、下状态。在给老师检查后,老师指出还没有完成电梯的可控制要求,电梯必须要能实现人工控制。于是在原来的基础上,通过参考课本和请教完成得很好的的同学,加上了电梯可停止程序语句“STOP1BUTTON”和数码显示语句“DOUT”(详细见源程序部分),从而实现了电梯的可控制功能和状态显示功能。

34、为了更完善一点,老师要求能不能实现电梯开关门声音。于是根据课本所学内容,在远有程序的基础上再赋了一个“CLOK”值,用于实现语音功能。在程序下载到硬件后,将频率在0.53K(HZ)之间选折,但无法实现声音功能。由于时间有限,因此最后不得不放弃了这个功能的设计。这次课设给我带来的收获主要有:1.进一步熟悉maxplus II软件的使用和操作方法,以及硬件实现时的下载方法与运行方法。2. 对vhdl语言的自顶向下设计方法有了进一步的认识;3 .对我独立思考和解决问题的能力有了很大的锻炼;4 .再次熟悉了科技论文的写法。不足之处就在于设计的东西还不完善,很多功能只是停留在表层阶段,而且还有小部分预想

35、的功能没有实现。致 谢这次课程设计中,我学到了许多在平时的课堂上学不到的知识,也更加深刻的体会了EDA技术在现实中的广泛应用,意识到这是一门很好的很实用的技术,所以我认为这次课程实际的过程非常的重要。在此感谢尹长勇,包研老师对我们课程设计的指导和帮助,是老师们的谆谆教诲使得我们顺利完成课程设计的工作,在此,同样还要感谢同学的帮助,虽然过程比较的艰难,又要查阅资料,又要思考整合,着实费了一番功夫,但是收益同样是可观的。最后,再次感谢老师和同学的帮忙。 参考文献1孙津平.数子电子技术.西安:西安电子科技大学出版社,2002 2陈振源.电子技术基础.北京:高等教育出版社,20013陈梓城、孙丽霞.电

36、子技术基础.北京:机械工业出版社,20014李中发.数字电子技术.北京:中过水利水电出版社,20015黄仁欣.EDA技术实用教程.北京:清华大学出版社,20066谭会生.EDA技术基础.长沙:湖南大学出版社,20047杨刚,龙海燕.现代电子技术VHDL与数字系统设计.北京.电子工业出版社,2004“同等学历”的同学需要写这个。什么是“同等学历”?我也不懂。L千万不要删除行尾的分节符,此行不会被打印。不要在此行和下页的注释之间填写任何内容下面的内容是参考文献,通过“插入”“引用”“脚注和尾注”,插入尾注到“文档结尾”后,word会自动生成序号。双击序号能自动定位。移动引用位置会自动重新编号。还可以插入“交叉引用”,实现对一篇文献的多次引用。因为本人能力所限,不能将其自动放入前面的“参考文献”章节内,也不能去掉接下来的这半条直线,所以就只能麻烦您这么做了:打印前,备份文档,然后将下面的内容copy & paste到“参考文献”内,并要手工修改序号。注意!copy前一定要备份!以后再做修改时,要修改备份文档。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号