毕业设计(论文)基于AD9851的DDS信号源发生器.doc

上传人:laozhun 文档编号:4016283 上传时间:2023-04-01 格式:DOC 页数:49 大小:1.30MB
返回 下载 相关 举报
毕业设计(论文)基于AD9851的DDS信号源发生器.doc_第1页
第1页 / 共49页
毕业设计(论文)基于AD9851的DDS信号源发生器.doc_第2页
第2页 / 共49页
毕业设计(论文)基于AD9851的DDS信号源发生器.doc_第3页
第3页 / 共49页
毕业设计(论文)基于AD9851的DDS信号源发生器.doc_第4页
第4页 / 共49页
毕业设计(论文)基于AD9851的DDS信号源发生器.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《毕业设计(论文)基于AD9851的DDS信号源发生器.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)基于AD9851的DDS信号源发生器.doc(49页珍藏版)》请在三一办公上搜索。

1、学号:070275070河南大学2011届本科毕业论文基于AD9851的DDS信号源发生器DDS Signal Generator based on AD9851论文作者姓名: 作 者 学 号: 070275070 所 在 学 院: 计算机与信息工程学院 所 学 专 业: 自动化 导师姓名职称: 论文完成时间: 2011年5月10日 2011年5月10日河南大学2011届毕业设计(论文、创作)开题报告(学生本人填写)学号070275070姓名导师姓名职称赵建军(教授)开题时间2011年4月3日课题题目基于AD9851的DDS信号源发生器课题来源导师指定 自定 其他来源课题的目的、意义以及和本课

2、题有关的国内外现状分析:1目的:合理的使用DDS技术,设计一种结构简单性能优良的信号发生器。2意义:基于DDS的各种优点,在信号发生和频率合成方面有广阔的研究和发展前景。3现状:运用DDS技术的信号发生器已经广泛的用于信号源中,不仅能产生四种传统波形,而且还能编辑任意波形,同时输出波形的频率分辨率、频率精度等指标也有很大的提高。研究目标、研究内容和准备解决的问题:1目标:通过设计一个基于AD9851的信号源发生器,实现按键调控输出三种波形,并且得到仿真结果。2内容:通过AD9851输出频率可调,频率稳定的正弦波、方波、三角波三种波形,并实现LCD液晶显示。3准备解决的问题:如何实现用按键控制程

3、序的读入,从而实现通过按键来控制输出的波形。拟采取的方法、技术或设计(开发)工具:Keil编写控制程序,先进行计算机与模块的串口通信的调试,之后设计出液晶显示以及单片机与AD9851模块通信的电路图,之后编写控制程序,利用仿真工具Proteus进行仿真。开发工具和语言:开发工具拟采用protel99se、仿真工具proteus7.2,语言采用C预期成果:1源程序2Proteus仿真3. 论文进度计划与阶段要求:(1)2010-12-01 2011-12-31:查找资料、确定毕业设计题目。 2011-01-01:将毕业设计题目和基本功能说明发送到导师邮箱。(2)2011-01-02 2011-0

4、3-31:搜集素材、整理设计内容。 2011-04-01:将开题报告和整理的设计内容发送到导师邮箱。(3)2011-04-02 2011-04-09:根据导师的建议和指导,继续设计。 2011-04-10:将中期检查表和修改后的设计内容发送到导师邮箱。(4)2011-04-11 - 2011.04.20:根据导师的建议和指导,完成设计。 2011-04-21:将完成的毕业设计内容发送到导师邮箱。(5)2011-04-22 - 2011-05-05:根据导师建议和已经完成的设计,完成论文初稿。 2011-05-06:将毕业论文初稿发送到导师邮箱。(6)2011-05-07 - 2011-05-1

5、3:根据导师对论文的修改建议和指导,完成论文。 2011-05-14:将论文终稿发送到导师邮箱。开题前收集的资料和参考文献(5-8种)1 刘抒珍,童子权,任丽军,刘小红.DDS波形合成技术中低通椭圆滤波器的设计.哈尔滨理工大学学报,2004,92 刘伟. 基于AD9851芯片的信号发生器的研究. 苏州大学出版社,2002.43 王庆Protel99SE&DXP电路设计教程. 电子工业出版社,20054 孙育才. MCS-51系列单片机及应用(第4版)东南大学出版社,20045 阎石. 数字电子技术基础(第5版).清华大学出版社,20056 谭浩强. C语言程序设计(第3版).清华大学出版社,2

6、0057 郑学坚,周斌. 微型计算机的原理及应用. 清华大学出版社,2002指导教师对开题报告的意见:同意按开题报告的题目和设计思路开题。指导教师签名: 2011年4月5日河南大学2010届毕业论文(设计、创作)任务书(导师根据学生的开题报告填写)题目名称 基于AD9851的DDS信号源发生器学院计算机与信息工程学院学生姓名所学专业自动化学号毕业论文(设计、创作)要求1设计内容设计一个基于AD9851的DDS信号发生装置。2基本要求利用单片机与AD9851之间的通信,建立一个基于DDS型的信号发生系统,要求至少实现以下内容:(1)单片机与AD9851之间的通信。(2)LCD的液晶显示,输出显示

7、何种波形及频率。(3)经过滤波器后能产生波形良好的正弦波、方波和三角波。3要解决的问题如何实现用按键同时控制输出波形及频率的改变,如何实现对LCD液晶输出以及波形的输出的仿真。4要求提交的成果(1)毕业设计源程序(2)Proteus仿真(3)论文指导教师签名:2011年4 月 5 日河南大学2011届毕业设计(论文、创作)中期检查表(导师只填写评语,其他由学生填写)题目名称:基于AD9851的DDS信号源发生器学院计算机与信息工程学院学生姓名所学专业自动化学号一、毕业设计(论文、创作)进展情况目前,项目设计的大致框架已基本完成,程序能够正常运行。但是还有一部分没有实现,有待进一步完善。已经完成

8、的模块有液晶显示模块、最小系统模块。经测试模块运行基本正常,但还有少量问题。二、毕业设计(论文、创作)存在的问题及解决方案存在的问题:在对AD9851的芯片进行仿真的时,在proteus元件库中找不到AD9851的仿真元件。解决方案:查找相关资料,发现proteus元件库中没有可以替代的DDS仿真元件,可以用DAC0832来代替。三、学生本人对毕业设计阶段所做工作及进展情况的评价在做毕业设计期间,我积极认真,严格要求自己,并在代码设计过程中及时解决了遇到的大部分问题。但是在低通滤波模块部分,存在信号发生异常,目前正在通过学过的知识和搜集的参考资料,想办法尽快解决这个问题。四、指导教师对学生毕业

9、设计(论文、创作)中期检查的评语根据该生提交的毕业设计成果,以及该生和导师交流的情况,同意该生通过中期检查。允许该生根据本人搜集的参考资料和已经完成的设计成果,开始整理毕业论文文档。指导教师签名 2011年 5 月 5 日河南大学2011届毕业论文(设计、创作)教师评阅成绩表学院名称:计算机与信息工程学院学 号070275070姓名魏登明专业自动化指导教师赵建军(教授)教师评阅平均得分论文题目基于AD9851的DDS信号源发生器指导教师评语及得分指导教师评语评分项目分值指导教师对毕业论文(设计、创作)评分撰写开题报告、文献综述15调查研究查阅整理资料10学习态度与规范要求10数据处理、文字表达

10、10论文(设计、创作)质量和创新意识55合计100得分指导教师签名 2011年5月18日评阅教师评语及评分评阅教师评语评分项目分值评阅毕业论文(设计、创作)评分撰写开题报告、文献综述满分15调查研究查阅整理资料10学习态度与规范要求10数据处理、文字表达10论文(设计、创作)质量和创新意识55合计100得分评阅教师签名 2010年5月19日此表由教师填写河南大学2010届毕业论文(设计、创作)综合成绩表学号070275070姓名魏登明所在学院计算机与信息工程学院答辩委员会评语及评分答辩委员会评语答辩委员签字(4名以上): 2011年5月22日评分项目分值论文答辩小组评分答辩情况论文质量合计(1

11、00)内容表达情况(15)答辩问题情况(25)规范要求与文字表达(20)论文(设计、创作)质量和创新意识(40)得分答辩委员会主任签字: 2011年5月22日毕业论文(设计、创作)成绩综合评定(百分制): 分(教师评阅表平均成绩占40%,答辩成绩占60%)综合评定等级(优、良、中、差):备注:一、论文的质量评定,应包括对论文的语言表达、结构层次、逻辑性理论分析、设计计算、分析和概括能力及在论文中是否有新的见解或创新性成果等做出评价。从论文来看学生掌握本专业基础理论和基本技能的程度。二、成绩评定采用结构评分法,即由指导教师、评阅教师和答辩委员会分别给分(以百分计),评阅教师得分乘以20%加上指导

12、教师得分乘以20%加上答辩委员会得分乘以60%即综合成绩。评估等级按优、良、中、差划分,优90-100分;良76-89分;中60-75分;差60分以下。三、评分由专业教研室或院组织专门评分小组(不少于5人),根据指导教师和答辩委员会意见决定每个学生的分数,在有争议时,应由答辩委员会进行表决。四、毕业论文答辩工作结束后,各院应于6月20日前向教务处推荐优秀论文以汇编成册,推荐的篇数为按当年学院毕业生人数的1.5%篇。五、各院亦可根据本专业的不同情况,制定相应的具有自己特色的内容。须报教务处备案。河南大学本科生毕业论文(设计、创作)承诺书论文题目基于AD9851的DDS信号源发生器姓 名魏登明所学

13、专业计算机科学与技术学 号070275070完成时间2011年5 月20日指导教师姓名职称赵建军(教授)承诺内容:1本毕业论文(设计、创作)是学生 魏登明 在导师 赵建军 的指导下独立完成的,没有抄袭、剽窃他人成果,没有请人代做,若在毕业论文(设计、创作)的各种检查、评比中被发现有以上行为,愿按学校有关规定接受处理,并承担相应的法律责任。2学校有权保留并向上级有关部门送交本毕业论文(设计、创作)的复印件和磁盘。备注:学生签名: 指导教师签名:2011 年 5 月 20 日 2011 年 5 月 20 日说明:学生毕业论文(设计、创作)如有保密等要求,请在备注中明确,承诺内容第2条即以备注为准。

14、目录摘要IAbstractII第1章 绪论11.1 课题来源11.2 课题背景11.3 直接数字频率合成技术的现状11.4 本文的主要工作2第2章 系统总体方案的分析和设计32.1 DDS技术的基本原理32.2 DDS的工作特点42.3 系统设计原理52.4 系统框图分析和设计5第3章 系统的硬件设计63.1 稳压电源的设计63.1.1 三端稳压集成电路7805简介63.1.2 系统电源电路设计73.2 DDS芯片的选择及与单片机之间的通信73.2.1 DDS芯片选择及引脚图83.2.2 AD9851的串、并行通信93.3 单片机(AT89S52)控制电路103.3.1 时钟电路103.3.2

15、 复位电路113.3.3 单片机控制电路图123.3.4 单片机与AD9851的接口133.4 液晶显示模块143.4.1 LCD1602的主要性能143.4.2 LCD与单片机的连接153.5 低通滤波器的设计15第4章 信号发生器的软件设计174.1 程序流程图174.2 键盘扫描流程图184.3 LCD的显示19第5章系统的仿真和调试21结论24参考文献25附录26摘要频率合成器是现代电子系统的重要组成部分,是决定电子系统性能的关键设备之一。随着现代通信技术的发展,系统对频率合成器提出了越来越高的要求。低相位噪声、高频谱纯度、高捷变速率和高频率分辨率的频率合成器已经成为频率合成技术发展的

16、主要趋势。直接数字频率合成(DDS)是继直接频率合成(Ds)和锁相环频率合成(PLL)之后出现的新的频率合成方法,己被广泛地应用于通信、雷达、电子对抗和仪器仪表等领域。本文首先介绍了频率合成的概念、发展以及直接数字频率合成技术(DDS)的现状和发展趋势。分析了DDS的工作原理及其基本结构,然后根据系统指标合理地采用了DDS技术,以AD9851芯片为核心,设计了一种结构简单性能优良的信号发生器。详细分析了该信号发生器的系统结构、软硬件设计和具体电路实现。信号发生器的硬件部分包括三个模块,分别是信号产生和控制模块、人机交互模块和信号处理模块。软件部分主要开发基于单片机AT89S52的数据处理和控制

17、程序,以及信号发生器的外部通信程序。完成实验电路板的制作,并通过电路板的调试,实验电路工作正常。根据系统的最终测试结果可知该信号发生器具有输出信号波形种类多、精度高、频带宽等特点。最后针对课题对频率源合成性能的要求,对相位噪声的抑制和杂散性能的优化进行了深入的分析,并对比实际电路提出了设计的改进建议和方案。关键词:信号发生器;DDS;AD9851;频率合成;AbstractFrequency synthesizer is one of the most important parts used in modem communication and electronic systemsWith

18、the development of modem communication techniques,frequency synthesizer is required to have higher performance such as wide band,fast frequency switching speed,low spurious level,pure output spectrum,high resolution,etcDirect digital synthesis(DDS)is a new frequency synthesis technology coming after

19、 direct frequency synthesis(DS)and phase locked loop frequency synthesis(PLL)Its widely used in such fields as radar,communication,electronic warfare and electronic measurement instrumentThis paper firstly introduces the concept and development of frequency synthesis,as well as the present situation

20、 and the trend of development of the direct digital frequency synthesis technology(DDS)It has analyzed the principle and the basic structure of DDS,then according to the target system,a signal generator with the brief structure and excellent performance is designed with adopting DDS technology,which

21、 is based on the AD companys DDS chir-AD9851The system structure,the design of software and hardware and the way to realize the circuitry of the signal generator is analyzed in detailThe hardware of the signal generator consists of three blocks,those are signal generate module、keyboard and LCD displ

22、ay module、signal processing moduleSoftware of the system mainly focuses on the design of C51 including control、data processing、communication,and so onThe corresponding PCB has been made and debuggedThe whole circuit works wellThe signal generator has many virtues,such as generating multiple sorts of

23、 signals,high precision and wide frequency widthThe noise inversion and optimization of spurious suppression characteristic are analyzed in depth according to requirement of the synthetic performanceAt last,this paper proposes improvement program by comparing the actual circuitsKeywords:signal gener

24、ator;DDS;AD9851;frequency synthesis.第1章 绪论随着现代电子技术的发展,在自动化系统、通信系统,电子对抗以及各种电子测量技术中,我们常常需要一个高精度、频率可变的信号源。近年来随着直接数字频率合成技术(Direct Digital Frequency Synthesis,简称DDS)的发展,这个问题已经得到很好的解决,与传统的频率合成技术相比,DDS具有频率分辨率高、频率转变速度快、输出相位连续、相位噪声低、可编程和全数字化、便于集成等优点。用DDS合成的频率源是目前很高级的技术,研究该信号源有比较重要的理论意义和现实意义。1.1 课题来源该题目是自选题目1

25、.2 课题背景在现代雷达、通信、电子对抗等系统中频率合成器有着广泛的应用,它是电子系统的心脏,是决定电子系统性能的关键设备,很多现代电子设备和系统的功能都直接依赖于所使用的频率合成器的性能。直接数字频率合成(DDS)技术是近二十年新兴的一种频率合成技术,它具有分辨率高、切换速度快、相位连续等一系列优点。由于DDS技术具有众多优点,使得它在通信领域如数字调制、移动通信、扩频通信等方面得到了广泛使用。1.3 直接数字频率合成技术的现状随着数字信号理论和超大规模集成电路VLSI的发展,在频率合成领域诞生了一种革命性的技术,那就是七十年代出现的直接数字频率合成DDS,它的出现标志着频率合成技术迈进了第

26、三代。1971年3月J.Tiemey和C.M.Tader等人首先提出了DDS的概念;利用数字方式累加相位,再以相位之和作为地址来查询正弦函数表得到正弦波幅度的离散数字序列,最后经DA变换得到模拟正弦波输出。在系统时钟一定的情况下,输出频率决定于频率寄存器中的频率字。而累加器的字长决定分辨率。基于这样的结构DDS频率合成器具有以下优点:(1)频率分辨率高,输出频点多,可达2N个频点(N为DDS相位累加器的字长);(2)频率切换速度快,可达岸us量级;(3)频率切换时相位连续;(4)可以输出宽带正交信号;(5)输出相位噪声低,对参考频率源的相位噪声有改善作用;(6)可以产生任意波形;(7)全数字化

27、实现,便于集成,体积小,重量轻。运用DDS技术生产的DDS任意波形信号发生器是一类较新的信号源并已经广泛投入使用。它不仅能产生传统函数信号器能产生的正弦波、方波、三角波、锯齿波,还可以产生任意编辑的波形。由于DDS的自身特点,还可以很容易的产生一些数字调制信号,如FSK PSK等,一些高端的信号发生器甚至可以产生通信信号。同时输出波形的频率分辨率、频率精度等指标也有很大的提高。1.4 本文的主要工作本论文的任务是根据DDS信号发生器的特点和应用情况,结合新一代高性能芯片设计一种使用简单、性能优良的信号发生器。整个系统以单片机STC89S52控制,DDS芯片AD9851为核心,配置相应的外设及接

28、口电路,用C语言开发,组成一个多功能的信号发生器。本文的主要工作如下:(1)根据课题提出的指标和要求进行研究,分析其可行性。(2)对系统的各个功能模块进行了深入的分析和研究,在对课题所采用的方案进行详细的研究后设计了具体的功能电路。(3)熟悉所选择的各集成电路芯片并完成具体电路的设计、PCB板布局与模块结构设计,对各模块电路进行了精心调试。(4)对系统的最终指标进行了测试,针对系统的不足,进行了分析并提出了一些改进的方法。第2章 系统总体方案的分析和设计2.1 DDS技术的基本原理DDS的基本工作原理是在采样时钟信号的控制下,通过由频率码控制的相位累加器输出相位码,将存储于只读存储器的波形量化

29、采样数据值按一定的规律读出,经D/A转换和低通滤波后输出正弦信号。其主要组成为:相位累加器、相位相加器、波形存储器、数字相乘器和D/A转换器。下面就AD9851如何实现正弦波和方波来介绍DDS的基本原理,如图2-1所示。图2-1中层虚线内是一个完整的可编程DDS系统,外层虚线内包含了AD9851的主要组成部分。AD9851内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成。每来一个外部参考时钟,相位寄存器便以步长M递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周

30、期的数字幅度信息,每一个地址对应正弦波中0360范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模式量。相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次,相位地正弦查询表每一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。输出的正弦波周期To=Tc*2N/M,频率fout=M*fc/2N,Tc、fc分别为外部参考时钟的周期和频率。AD9851采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC,DAC再输出两个互补的电流。DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为ISET=

31、32(1.148V/RSET),RSET的典型值是3.9k。将DAC的输出经低通滤波后接到AD9851内部的高速比较器上即可直接输出一个抖动很小的方波。AD9851在接上精密时钟源和写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在125MHz的时钟下,32位的频率控制字可使AD9851的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180、90、45、22.5、11.25或这些值的组合进行调整。 图2-1 AD9851的结构框图2.2 DDS的工作特点DDS的原理使其具备了

32、以下优良的工作特点:(1)频率分辨率高如前所述,DDS的分辨率在fc固定时,取决于相位累加器的位数N,只要N取足够大,理论上可以获得相应的频率分辨精度,这在传统的频率合成方法上是难以实现的。(2)频率变化速度快在DDS中,一个频率的建立时间通常取决于滤波器的带宽。其影响因素有内部数控振荡器内的工艺结构、数模变换及其它可能的信号处理步骤产生的时延,其中数字信号处理部分的时延与时钟周期相关。由于DDS中无须相位反馈控制,因而频率建立及切换快并且与频率分辨率、频谱纯度相互独立。(3)易于实现各种数字调制由于DDS信号的频率、相位、幅度均可由数字信号控制,所以可以通过预置内部相位累加器的初始值来精确控

33、制输出信号,调幅时直接在ROM表输出端对幅度进行控制,调相时在相位累加器输出端直接加上调制信号即可,调频可通过频率控制字进行,在进行CHIRP调制时,也只需在频率控制字前再加一个累加器即可。同时,DDS也非常易于实现如PSK、FSK等高精度的数字调制和正交调制。(4)集成度高DDS中除了滤波器以外,几乎所有的部件都属于数字信号处理部件,系统易于集成,功耗低,体积小,重量轻。2.3 系统设计原理本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案, 根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9851 芯片,并通过单片机程序

34、控制和处理AD9851的32位频率控制字, 再经放大后加至以数字电位器为核心的数字衰减网络, 从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、A/D转换模块、数字积分选择电路等部分组成。单片机AT89S52是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9851,输出波形。键盘输入的数字信息经AT89S52控制的LCD1602显示。2.4 系统框图分析和设计系统结构组成如图2-2所示,主要由单片机控制模块、键盘与显示模块、数字合成模块、滤波模块及运放模块。其中,信号产生由单片机控制

35、模块和数字合成模块实现,键盘与显示模块则用来实现人机交互的功能,滤波模块及运放模块用来对信号进行后期处理。 AD9851LCD1602键盘单片机低通滤波器信号输出 图2-2 信号发生器系统框图用户从仪器面板上按键输入命令,数据将显示在字符型LCD上,并将命令传输到单片机,再由单片机控制DDS芯片输出信号,并通过后级信号调理电路,最后输出所需的信号。因为是可调控制,调节按钮不仅可以输出不同的波形,而且能增加和减小输出波形的频率。第3章 系统的硬件设计因为本课题的功能电路与相关部件较多,为了便于研制期间的调试与最终成品的产业化,所以系统的最后实现采用了模块化的思想,即先把各个相关的电路与部件做成相

36、互独立的分离模块,而系统的功能则是通过各模块间的级联来完成的。下面将分别叙述各功能模块及其中所用到的器件、电路以及在系统设计、调试过程中应该注意的问题。3.1 稳压电源的设计单片机的+5v电源的获得可通过直流电或者交流电获得,通过直流电获得可直接用电脑USB接口、干电池及DCDC的方式获得,而本系统通过7805稳压电路将12V电压转换成5V直流电压,输出电压稳定,其最大输出电流可为1A,能带动一定的负载。3.1.1 三端稳压集成电路7805简介电子产品中,常见的三端稳压集成电路有正电压输出的78 系列和负电压输出的79系列。三端IC是指这种稳压用的集成电路,只有三条引脚输出,分别是输入端、接地

37、端和输出端。本系统需要使用+5v输出作为单片机电源,故选用7805。用7805三端稳压IC来组成稳压电源所需的外围元件极少,电路内部还有过流、过热及调整管的保护电路,使用起来可靠、方便,而且价格便宜。但要注意,其最大输出电流为1.5A,因此在使用中要注意电路电流上限。7805的引脚图为3-1,其电路图为3-2。 3-1 7805引脚图 3-2 7805电路图 3.1.2 系统电源电路设计12V的直流电源经过滤波电路、7805稳压电路后输出+5v直流电源,工作原理如图 3-3:滤波+5v直流输出7805稳压12V直流电源 图3-3 +5v电源工作原理图在实际电路中,12V的直流电源需要在这里接一

38、个100uF的电解电容。 变压器输出端的12V电压经电容滤波,在电容C1两端大约会有11V多一点的电压,假如从电容两端直接接一个负载,当负载变化或交流电源有少许波动都会使C1两端的电压发生较大幅度的变化,因此要得到一个比较稳定的电压,还需在这里接一个三端稳压模块7805。由于7805的输出端不能高于输入端,所以用二极管加以保护。 三端稳压器前后面各接一个104电容C1和C3,有滤波和阻尼的作用。 同时利用R1和发光二极管作为电源状态指示灯,以便实时了解电源是否正常工作。系统电源电路如图3-4所示。图3-4 电源电路3.2 DDS芯片的选择及与单片机之间的通信信号的产生与控制部分电路由DDS片A

39、D9851与单片机AT89S52组成, 用户通过键盘输入的信号要求被AT89S52接收,并经其处理后将计算出的控制字传送给AD9851,由AD9851产生频率幅度可控的信号。下面以AD9851芯片为中心加以讨论。3.2.1 DDS芯片选择及引脚图本系统采用了美国模拟器件公司生产的高集成度产品 AD9851 芯片。AD9851 是在 AD9850 的基础上,做了一些改进以后生成的具有新功能的 DDS 芯片。AD9851 相对于 AD9850 的内部结构,只是多了一个 6 倍参考时钟倍乘器,当系统时钟为 180MHz 时,在参考时钟输入端,只需输入 30MHz 的参考时钟即可。AD9851 是由数

40、据输入寄存器、频率/相位寄存器、具有 6 倍参考时钟倍乘器的 DDS 芯片、10位的模/数转换器、内部高速比较器这几个部分组成。其中具有 6 倍参考时钟倍乘器的 DDS 芯片是由 32 位相位累加器、正弦函数功能查找表、D/A 变换器以及低通滤波器集成到一起。这个高速 DDS 芯片时钟频率可达 180MHz, 输出频率可达 70 MHz,分辨率为 0.04Hz。AD9851采用28引脚的SSOP表面封装,其引脚排列如图3-5所示,各引脚定义如下:D0D7:8 位数据输入口,可给内部寄存器装入 40 位控制数据。PGND:6 倍参考时钟倍乘器地。PVCC:6 倍参考时钟倍乘器电源。W-CLK:字

41、装入信号,上升沿有效。FQ-UD:频率更新控制信号,时钟上升沿确认输入数据有效。FREFCLOCK:外部参考时钟输入。 CMOS/TTL 脉冲序列可直接或间接地加到 6 倍参考时钟倍乘器上。在直接方式中,输入频率即是系统时钟;在 6 倍参考时钟倍乘器方式,系统时钟为倍乘器输出。 AGND:模拟地。AVDD:模拟电源(+5)。 DGND:数字地。 DVDD:数字电源(+5)。 RSET、DAC:外部复位连接端。 VOUTN:内部比较器负向输出端。 VOUTP:内部比较器正向输出端。 VINN:内部比较器的负向输入端。 图3-5 AD9851管脚示意图VINP:内部比较器的正向输入端。DACBP:

42、DAC 旁路连接端。 IOUTB: “互补”DAC 输出。 IOUT:内部 DAC 输出端。 RESET:复位端。低电平清除 DDS累加器和相位延迟器为 0Hz 和 0 相位,同时置数据输入为串行模式以及禁止 6 倍参考时钟倍乘器工作。3.2.2 AD9851的串、并行通信AD9851的串行操作有两种数据传送方式,即从最高位开始传送和从最低位开始传送,这是由控制寄存器1的第8位来决定的。默认状态为低电平,此时先传送最高位,若为高电平则先传送最低位。串行操作的时序如图3-6所示。 图3-6 控制字串行输入时序图在串行输入方式,W-CLK上升沿把25引脚的一位数据串行移入,当移动40位后,用一个F

43、Q_UD脉冲即可更新输出频率和相位。图3-7是相应的控制字串行输入的控制时序图。AD9851的复位(RESET)信号为高电平有效,且脉冲宽度不小于5个参考时钟周期。AD9851的参考时钟频率一般远高于单片机的时钟频率, 因此AD9851的复位(RESET)端可与单片机的复位端直接相连。图3-7 控制字并行输入的时序图在并行装入方式中,通过8位总线D0-D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后, W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。3.3 单片机(AT89S52)控制电路AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K 在系统可编程Flash 存储器。使用ATMEL公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号