第七章串行口课件.ppt

上传人:牧羊曲112 文档编号:4094457 上传时间:2023-04-03 格式:PPT 页数:71 大小:1.31MB
返回 下载 相关 举报
第七章串行口课件.ppt_第1页
第1页 / 共71页
第七章串行口课件.ppt_第2页
第2页 / 共71页
第七章串行口课件.ppt_第3页
第3页 / 共71页
第七章串行口课件.ppt_第4页
第4页 / 共71页
第七章串行口课件.ppt_第5页
第5页 / 共71页
点击查看更多>>
资源描述

《第七章串行口课件.ppt》由会员分享,可在线阅读,更多相关《第七章串行口课件.ppt(71页珍藏版)》请在三一办公上搜索。

1、第七章 80C51的串行口,7.2 80C51的串行口,7.1 计算机串行通信基础,7.3 单片机串行口应用举例,7.1 计算机串行通信基础,随着多微机系统的广泛应用和计算机网络技术的普及,计算机的通信功能愈来愈显得重要。计算机通信是指计算机与外部设备或计算机与计算机之间的信息交换。通信有并行通信和串行通信两种方式。在多微机系统以及现代测控系统中信息的交换多采用串行通信方式。,计算机通信可以分为两大类:并行通信与串行通信。1、并行通信:通常是将数据字节的各位用多条数据线同时进行传送。,优点:控制简单、传输速度快;缺点:由于传输线较多,长距离传送时成本高且接收方的各位同时接收存在困难。,2、串行

2、通信:是将数据字节分成一位一位的形式在一条传输线上逐个地传送。,优点:传输线少,长距离传送时成本低,且可以利用电话网等现成的设备;缺点:数据的传送控制比并行通信复杂,传输速度慢。,7.1.1 串行通信的基本概念 一、异步通信与同步通信 1、异步通信 异步通信是指通信的发送与接收设备使用各自的时钟控制数据的发送和接收过程。为使双方的收发协调,要求发送和接收设备的时钟尽可能一致。,异步通信是以字符(构成的帧)为单位进行传输,字符与字符之间的间隙(时间间隔)是任意的,但每个字符中的各位是以固定的时间传送的,即字符之间是异步的(字符之间不一定有“位间隔”的整数倍的关系),但同一字符内的各位是同步的(各

3、位之间的距离均为“位间隔”的整数倍)。,异步通信的数据格式:,异步通信的特点:不要求收发双方时钟的严格一致,实现容易,设备开销较小,但每个字符要附加23位用于起止位,各帧之间还有间隔,因此传输效率不高。,2、同步通信 同步通信时要建立发送方时钟对接收方时钟的直接控制,使双方达到完全同步。传输数据的位之间的距离均为“位间隔”的整数倍,同时传送的字符间不留间隙,即保持位同步关系,也保持字符同步关系。发送方对接收方的同步可以通过两种方法实现。,外同步 自同步,面向字符的同步格式:,此时,传送的数据和控制信息都必须由规定的字符集(如ASCII码)中的字符所组成。图中帧头为1个或2个同步字符SYN(AS

4、CII码为16H)。SOH为序始字符(ASCII码为01H),表示标题的开始,标题中包含源地址、目标地址和路由指示等信息。STX为文始字符(ASCII码为02H),表示传送的数据块开始。数据块是传送的正文内容,由多个字符组成。,数据块后面是组终字符ETB(ASCII码为17H)或文终字符ETX(ASCII码为03H)。然后是校验码。典型的面向字符的同步规程如IBM的二进制同步规程BSC。,面向位的同步格式:,此时,将数据块看作数据流,并用序列01111110作为开始和结束标志。,为了避免在数据流中出现序列01111110时引起的混乱,发送方总是在其发送的数据流中每出现5个连续的1就插入一个附加

5、的0;接收方则每检测到5个连续的1并且其后有一个0时,就删除该0。典型的面向位的同步协议如ISO的高级数据链路控制规程HDLC和IBM的同步数据链路控制规程SDLC。同步通信的特点是以特定的位组合“01111110”作为帧的开始和结束标志,所传输的一帧数据可以是任意位。所以传输的效率较高,但实现的硬件设备比异步通信复杂。,二、串行通信的传输方向1、单工 是指数据传输仅能沿一个方向,不能实现反向传输。2、半双工 是指数据传输可以沿两个方向,但需要分时进行。3、全双工全双工是指数据可以同时进行双向传输。,单工 半双工 全双工,三、信号的调制与解调 利用调制器(Modulator)把数字信号转换成模

6、拟信号,然后送到通信线路上去,再由解调器(Demodulator)把从通信线路上收到的模拟信号转换成数字信号。由于通信是双向的,调制器和解调器合并在一个装置中,这就是调制解调器MODEM。,四、串行通信的错误校验 1、奇偶校验 在发送数据时,数据位尾随的1位为奇偶校验位(1或0)。奇校验时,数据中“1”的个数与校验位“1”的个数之和应为奇数;偶校验时,数据中“1”的个数与校验位“1”的个数之和应为偶数。接收字符时,对“1”的个数进行校验,若发现不一致,则说明传输数据过程中出现了差错。,2、代码和校验 代码和校验是发送方将所发数据块求和(或各字节异或),产生一个字节的校验字符(校验和)附加到数据

7、块末尾。接收方接收数据同时对数据块(除校验字节外)求和(或各字节异或),将所得的结果与发送方的“校验和”进行比较,相符则无差错,否则即认为传送过程中出现了差错。3、循环冗余校验 这种校验是通过某种数学运算实现有效信息与校验位之间的循环校验,常用于对磁盘信息的传输、存储区的完整性校验等。这种校验方法纠错能力强。,五、传输速率与传输距离 1、传输速率 比特率是每秒钟传输二进制代码的位数,单位是:位秒(bps)。如每秒钟传送240个字符,而每个字符格式包含10位(1个起始位、1个停止位、8个数据位),这时的比特率为:10位240个/秒=2400 bps 波特率表示每秒钟调制信号变化的次数,单位是:波

8、特(Baud)。,波特率和比特率不总是相同的,对于将数字信号1或0直接用两种不同电压表示的所谓基带传输,比特率和波特率是相同的。所以,我们也经常用波特率表示数据的传输速率。2、传输距离与传输速率的关系 串行接口或终端直接传送串行信息位流的最大距离与传输速率及传输线的电气特性有关。当传输线使用每0.3m(约1英尺)有50PF电容的非平衡屏蔽双绞线时,传输距离随传输速率的增加而减小。当比特率超过1000 bps 时,最大传输距离迅速下降,如9600 bps 时最大距离下降到只有76m(约250英尺)。,7.1.2 串行通信接口标准 一、RS-232C接口 RS-232C是EIA(美国电子工业协会)

9、1969年修订的标准。RS-232C定义了数据终端设备(DTE)与数据通信设备(DCE)之间的物理接口标准。1、机械特性 RS-232C接口规定使用25针连接器,连接器的尺寸及每个插针的排列位置都有明确的定义。(阳头),2、功能特性,3、电气特性 RS-232采用负逻辑电平:-3-15V为逻辑1,+3-+15V为逻辑0。由于与TTL和MOS电平不兼容,连接时必须外加电平转换电路。发送和接受信号线建议采用多芯电缆,总负载电容不能超过2500F。,4、过程特性 过程特性规定了信号之间的时序关系,以便正确地接收和发送数据。,远程通信连接,近程通信连接,5、RS-232C电平与TTL电平转换驱动电路,

10、6、采用RS-232C接口存在的问题 1、传输距离短,传输速率低 RS-232C总线标准受电容允许值的约束,使用时传输距离一般不要超过15米(线路条件好时也不超过几十米)。最高传送速率为20Kbps。,2、有电平偏移 RS-232C总线标准要求收发双方共地。通信距离较大时,收发双方的地电位差别较大,在信号地上将有比较大的地电流并产生压降。,3、抗干扰能力差 RS-232C在电平转换时采用单端输入输出,在传输过程中当干扰和噪声混在正常的信号中。为了提高信噪比,RS-232C总线标准不得不采用比较大的电压摆幅。,二、RS-422A接口 RS-422A输出驱动器为双端平衡驱动器。如果其中一条线为逻辑

11、“1”状态,另一条线就为逻辑“0”,比采用单端不平衡驱动对电压的放大倍数大一倍。,差分电路能从地线干扰中拾取有效信号,差分接收器可以分辨200mV以上电位差。若传输过程中混入了干扰和噪声,由于差分放大器的作用,可使干扰和噪声相互抵消。因此可以避免或大大减弱地线干扰和电磁干扰的影响。RS-422A传输速率(90Kbps)时,传输距离可达1200米。,三、RS-485接口,RS-485是RS-422A的变型:RS-422A用于全双工,而RS-485则用于半双工。RS-485是一种多发送器标准,在通信线路上最多可以使用32 对差分驱动器/接收器。如果在一个网络中连接的设备超过32个,还可以使用中继器

12、。,RS-485的信号传输采用两线间的电压来表示逻辑1和逻辑0。由于发送方需要两根传输线,接收方也需要两根传输线。传输线采用差动信道,所以它的干扰抑制性极好,又因为它的阻抗低,无接地问题,所以传输距离可达1200米,传输速率可达1Mbps。,RS-485是一点对多点的通信接口,一般采用双绞线的结构。普通的PC机一般不带RS485接口,因此要使用RS-232C/RS-485转换器。对于单片机可以通过芯片MAX485来完成TTL/RS-485的电平转换。在计算机和单片机组成的RS-485通信系统中,下位机由单片机系统组成,上位机为普通的PC机,负责监视下位机的运行状态,并对其状态信息进行集中处理,

13、以图文方式显示下位机的工作状态以及工业现场被控设备的工作状况。系统中各节点(包括上位机)的识别是通过设置不同的站地址来实现的。,7.2 AT89S51的串行口,7.2.1 89S51串行口的结构,图7-1 AT89S51单片机串行口,内部结构如图7-1。1、两个物理上独立的接收、发送缓冲器SBUF(属于特殊功能寄存器),可同时发送、接收数据。发送缓冲器只写不能读。接收缓冲器只读不能写。2、两个缓冲器共用一个特殊功能寄存器字节地址(99H)。3、控制寄存器两个:特殊功能寄存器SCON和PCON。,31,SCON 是一个特殊功能寄存器,用以设定串行口的工作方式、接收/发送控制以及设置状态标志:,7

14、.2.2 80C51串行口的控制寄存器,SM0和SM1为工作方式选择位,可选择四种工作方式:,SM2:多机通信控制位,主要用于方式2和方式3。1、当串口以方式2或方式3接收时:(1)当SM2=1,只有当接收到的第9位数据(RB8)为“1”时,才使RI置“1”,产生中断请求,并将接收到的前8位数据送入SBUF。当接收到的第9位数据(RB8)为“0”时,则将接收到的前8位数据丢弃。(2)当SM2=0时,则不论第9位数据是“1”还是“0”,都将前8位数据送入SBUF中,并使RI置“1”,产生中断请求。2、其它方式 方式1时如果SM2=1,只有收到有效的停止位时才会激活RI。方式0时,SM2必须为0,

15、REN:允许串行接收位。由软件置REN=1,则启动串行口接收数据;若软件置REN=0,则禁止接收。TB8:在方式2或方式3中,是发送数据的第九位,可以用软件规定其作用。可以用作数据的奇偶校验位,或在多机通信中,作为地址帧/数据帧的标志位。在方式0和方式1中,该位未用。RB8:在方式2或方式3中,是接收到数据的第九位,作为奇偶校验位或地址帧/数据帧的标志位。在方式1时,若SM2=0,则RB8是接收到的停止位。,TI:发送中断标志位。在方式0时,当串行发送第8位数据结束时,或在其它方式,串行发送停止位的开始时,由内部硬件使TI置1,向CPU发中断申请。在中断服务程序中,必须用软件将其清0,取消此中

16、断申请。RI:接收中断标志位。在方式0时,当串行接收第8位数据结束时,或在其它方式,串行接收停止位的中间时,由内部硬件使RI置1,向CPU发中断申请。也必须在中断服务程序中,用软件将其清0,取消此中断申请。,SCON的设置方法:例1:将串行口设置为工作方式1,发送 解:MOV SCON,#01000000B 例2:将串行口设置为工作方式1,接收 解:MOV SCON,#01010000B 例3:将串行口设置为工作方式3,接收 解:MOV SCON,#11110000B,7.1.2 特殊功能寄存器PCON 字节地址为87H,不能位寻址。如图,PCON中只有一位SMOD与串行口工作有关:,SMOD

17、(PCON.7)波特率倍增位。在串行口方式1、方式2、方式3时,波特率与SMOD有关,当SMOD=1时,波特率提高一倍。复位时,SMOD=0。,7.2.3 80C51串行口的工作方式,一、方式0 方式0时,串行口为同步移位寄存器的输入输出方式。主要用于扩展并行输入或输出口。数据由RXD(P3.0)引脚输入或输出,同步移位脉冲由TXD(P3.1)引脚输出。发送和接收均为8位数据,低位在先,高位在后。波特率固定为fosc/12。1、方式0输出,2、方式0输入,方式0接收和发送电路,3、方式0输出的应用 例1:如图7-2为串行口工作在方式0,通过74LS164的输出来控制8个外接LED发光二极管亮灭

18、的接口电路。当串行口被设置在方式0输出时,串行数据由RXD端(P3.0)送出,移位脉冲由TXD端(P3.1)送出。在移位脉冲的作用下,串行口发送缓冲器的数据逐位地从RXD端串行地移入74LS164中。编写程序控制8个发光二极管轮流点亮。,40,图7-2,【例7-1】如图7-2所示,编写程序控制8个发光二极管轮流点亮。图中74LS164的CLK端为同步脉冲输入端,CLR为控制端,当CLR=0时,允许串行数据从A和B端输入但是8位并行输出端关闭;当CLR=1时,A和B输入端关闭,但是允许74LS164中的8位数据并行输出。当8位串行数据发送完毕后,引起中断,在中断服务程序中,单片机通过串行口输出下

19、一个8位数据。采用中断方式的参考程序如下。,采用中断方式的参考程序#include#include sbit P1_0=0 x90;xdata char nSendByte;delay();main()SCON=0 x00;EA=1;ES=1;SBUF=nSendByte;P1_0=0;for(;),void Serial_Port()interrupt 4 using 0 if(TI=1)P1_0=1;delay();P1_0=0;nSendByte=1;if(nSendByte=0)nSendByte=1;SBUF=nSendByte;TI=0;RI=0;delay()int nCount

20、er;for(nCounter=0;nCounter128;nCounter+);,程序说明:(1)定义了全局变量nSendByte,以便在中断服务程序中能访问该变量。nSendByte用于存放从串口发出的字符,在程序中使用操作符对nSendByte变量进行移位,使得从串口发出的数据为0 x01,0 x02,0 x04,0 x08,0 x10,0 x20,0 x40,0 x80,从而逐个点亮不同的发光二极管。(2)if语句的作用是当nSendByte左移一位由0 x80变为0 x00后,需对变量nIndex重新赋值为1。(3)主程序中的SBUF=nSendByte语句必不可少,如没有该语句,主

21、程序并不从串行口发送数据,也就不会有发送完成中断。(4)循环语句for(;)的循环条件为空,表示for循环为一个无限循环,与while(1)实现同样的功能。,二、方式1方式1为双机串行通信方式,如图7-3所示。当SM0、SM1=01时,串行口设为方式1的双机串行通信。TXD脚和RXD脚分别用于发送和接收数据。图7-3 方式1双机串行通信的连接电路,方式1一帧数据为10位,1个起始位(0),8个数据位,1个停止位(1),先发送或接收最低位。帧格式如图7-4。图7-4 方式1的帧格式方式1为波特率可变的8位异步通信接口。波特率由下式确定:方式1波特率=定时器T1的溢出率SMOD为PCON寄存器的最

22、高位的值(0或1)。,45,1方式1发送方式1输出时,数据位由TXD端输出,发送一帧信息为10位:1位起始位0,8位数据位(先低位)和1位停止位1。当CPU执行一条数据写SBUF的指令,就启动发送。发送时序见图7-5。图7-5中TX时钟的频率就是发送的波特率。发送开始时,内部发送控制信号变为有效,将起始位向TXD脚(P3.0)输出,此后每经过一个TX时钟周期,产生一个移位脉冲,并由TXD引脚输出一个数据位。8位数据位全部发送完毕后,中断标志位TI置“1。,46,图7-5 方式1发送时序,47,图7-6 方式1接收时序,2方式1接收方式1接收时(REN=1),数据从RXD(P3.1)引脚输入。当

23、检测到起始位的负跳变,则开始接收。接收时序见图7-6。接收时,定时控制信号有两种,一种是接收移位时钟(RX时钟),它的频率和传送的波特率相同。另一种是位检测器采样脉冲,频率是RX时钟的16倍。以波特率的16倍速率采样RXD脚状态。当采样到RXD端从1到0的负跳变时就启动检测器,接收的值是3次连续采样(第7、8、9个脉冲时采样)取两次相同的值,以确认起始位(负跳变)的开始,较好地消除干扰引起的影响。,48,图7-6 方式1接收时序,49,当起始位有效时,开始接收一帧信息。每一位数据都进行3次连续采样(第7、8、9个脉冲采样),接收的值是3次采样中至少两次相同的值。当一帧数据接收完毕后,同时当起始

24、位有效时,开始接收一帧信息。,每一位数据都进行3次连续采样(第7、8、9个脉冲采样),接收的值是3次采样中至少两次相同的值。当一帧数据接收完毕后,同时满足以下两个条件,接收才有效。(1)RI=0,即上一帧数据接收完成时,RI=1发出的中断请求已被响应,SBUF中的数据已被取走,说明“接收SBUF”已空。(2)SM2=0或收到的停止位=1(方式1时,停止位已进入RB8),则将接收到的数据装入SBUF和RB8(装入的是停止位),且中断标志RI置“1”。若不同时满足两个条件,收的数据不能装入SBUF,该帧数据将丢弃。,50,三、方式2和方式3 方式2或方式3时为11位数据的异步通信口。TXD为数据发

25、送引脚,RXD为数据接收引脚。,方式2和方式3时起始位1位,数据9位(含1位附加的第9位,发送时为SCON中的TB8,接收时为RB8),停止位1位,一帧数据为11位。方式2的波特率固定为晶振频率的1/64或1/32,方式3的波特率由定时器T1的溢出率决定。,1、方式2和方式3输出,发送开始时,先把起始位0输出到TXD引脚,然后发送移位寄存器的输出位(D0)到TXD引脚。每一个移位脉冲都使输出移位寄存器的各位右移一位,并由TXD引脚输出。第一次移位时,停止位“1”移入输出移位寄存器的第9位上,以后每次移位,左边都移入0。当停止位移至输出位时,左边其余位全为0,检测电路检测到这一条件时,使控制电路

26、进行最后一次移位,并置TI=1,向CPU请求中断。,2、方式2和方式3输入,接收时,数据从右边移入输入移位寄存器,在起始位0移到最左边时,控制电路进行最后一次移位。当RI=0,且SM2=0(或接收到的第9位数据为1)时,接收到的数据装入接收缓冲器SBUF和RB8(接收数据的第9位),置RI=1,向CPU请求中断。如果条件不满足,则数据丢失,且不置位RI,继续搜索RXD引脚的负跳变。,四、波特率的计算 在串行通信中,收发双方对发送或接收数据的速率要有约定。通过软件可对单片机串行口编程为四种工作方式,其中方式0和方式2的波特率是固定的,而方式1和方式3的波特率是可变的,由定时器T1的溢出率来决定。

27、串行口的四种工作方式对应三种波特率。由于输入的移位时钟的来源不同,所以,各种方式的波特率计算公式也不相同。方式0的波特率=fosc/12 方式2的波特率=(2SMOD/64)fosc 方式1的波特率=(2SMOD/32)(T1溢出率)方式3的波特率=(2SMOD/32)(T1溢出率),方式1的波特率可变,由下式决定 方式3的波特率可变,T1采用方式2时由下式决定,当T1作为波特率发生器时,最典型的用法是使T1工作在自动再装入的8位定时器方式(即方式2,且TCON的TR1=1,以启动定时器)。这时溢出率取决于TH1中的计数值。T1 溢出率=fosc/12256(TH1)在单片机的应用中,常用的晶

28、振频率为:12MHz和11.0592MHz。所以,选用的波特率也相对固定。常用的串行口波特率以及各参数的关系如表所示。,串行口工作之前,应对其进行初始化,主要是设置产生波特率的定时器1、串行口控制和中断控制。具体步骤如下:确定T1的工作方式(编程TMOD寄存器);计算T1的初值,装载TH1、TL1;启动T1(编程TCON中的TR1位);确定串行口控制(编程SCON寄存器);串行口在中断方式工作时,要进行中断设置(编程IE、IP寄存器)。,7.3 串行口的应用 一、单片机与单片机通信 例7-4:如图7-7所示,甲、乙双机串行通信,双机的RXD和TXD相互交叉相连,甲机的P1口接8个开关,乙机的P

29、1口接8个发光二极管。,59,图7-7,甲机设置为只发不收的单工方式。要求甲机读入P1口的8个开关的状态后,通过串行口发送到乙机,乙机将接收到的甲机的8个开关的状态数据送入P1口。,初始化步骤:1、确定T1的工作方式:TMOD=0 x20;/*定时器T1方式2*/2、计算T1的初值,装载TH1、TL1:0 xfd;/*波特率9600*/3、启动T1(编程TCON中的TR1位):TR1=1;4、确定串行口控制(编程SCON寄存器):SCON=0 x40;/*方式1发送*/SCON=0 x50;/*方式1接收*/,参考程序如下。/*甲机串行发送*/#include#define uchar uns

30、igned char#define uint unsigned intvoid main()uchar temp=0;TMOD=0 x20;/*定时器T1方式2*/TH1=0 xfd;/*波特率9600*/TL1=0 xfd;SCON=0 x40;/*方式1发送*/PCON=0 x00;/*串行口方式0*/,61,TR1=1;P1=0 xff;while(1)temp=P1;/*读入P1口开关数据*/SBUF=temp;/*数据送串行口发送*/while(TI=0);/*TI=0未发送完,循环等待*/TI=0;/*已发送完,再把TI清0*/,#include/*乙机串行接收*/#define

31、uchar unsigned char#define uint unsigned intvoid main()uchar temp=0;TMOD=0 x20;/*设置定时器T1为方式2*/TH1=0 xfd;/*波特率9600*/TL1=0 xfd;SCON=0 x50;/*设置串口为方式1接收,REN=1*/PCON=0 x00;/*SMOD=0*/TR1=1;/*启动T1*/while(1)while(RI=0);/*若RI为0,未接收到数据*/RI=0;/*接收到数据,则把RI清0*/temp=SBUF;/*读取数据存入temp中*/P1=temp;/*接收的数据送P1口控制8个LED的

32、亮与灭*/,二、单片机与PC机的串行通信 测控系统中,常使用单片机进行数据采集,但由于单片机的数据存储容量和数据处理能力都较低,所以一般情况下单片机通过串口与PC机串口相连,把采集到的数据传送到PC机上,再在PC机上进行数据处理。由于单片机的输入输出是TTL电平,而PC机配置的都是RS-232标准串行接口,为9针“D”型连接器(插座),如图7-8所示。,“D”型9针插头引脚定义,图7-8 单片机与PC机的串行通信接口,由于两者的电平不匹配,因此必须把单片机输出的TTL电平转换为RS-232电平。单片机与PC机的接口方案如图7-8。图中所用的电平转换芯片为MAX232,接口连接只用3条线,即RS

33、-232插座中的2脚、3脚与5脚。(一)单片机向计算机发送数据 例7-5:单片机向计算机发送数据的接口电路如图。要求单片机通过串行口的TXD脚向计算机串行发送8个数据字节。实际上单片机向计算机与单片机向单片机发送数据的方法是完全一样的。单片机向计算机发送数据的参考程序如下。,#include code Tab=0 xfe,0 xfd,0 xfb,0 xf7,0 xef,0 xdf,0 xbf,0 x7f/*欲发送的流水灯控制码数组,定义为全局变量*/void send(unsigned char dat)SBUF=dat;/*待发送数据写入发送缓冲寄存器*/while(TI=0);/*串口未发

34、送完,等待*/;/*空操作*/TI=0;/*一个字节发送完毕,软件将TI标志清0*/*主函数*/void main(void)unsigned char i;TMOD=0 x20;/*设置T1为定时器方式2*/SCON=0 x40;/*串行口方式1*/,PCON=0 x00;TH1=0 xfd;/*波特率9600*/TL1=0 xfd;TR1=1;/*启动T1*/while(1)/*循环*/for(i=0;i8;i+)/*发送8次流水灯控制码*/s end(Tabi);/*发送数据*/delay();/*每隔200ms发送一次数据*/void delay(void)/*延时函数,延时约200m

35、s*/unsigned char m,n;for(m=0;m250;m+)for(n=0;n250;n+);,(二)单片机接收计算机发送的数据 例7-6:单片机接收计算机发送的串行数据,并把接收到的数据送P1口的8位LED显示。参考程序如下。,#include unsigned char receive(void)unsigned char dat;while(RI=0);/*接收数据中断标志RI未被置1,等待,直至接收完毕*/;/*空操作*/RI=0;/*将接收中断标志RI清0,为接收下一帧数据做准备*/dat=SBUF;/*将接收缓冲器中的数据存入dat*/return dat;/*将接收

36、到的数据返回*/,void main(void)TMOD=0 x20;/*设置T1为定时器模式,方式2*/SCON=0 x50;/*设置串行口方式1接收*/PCON=0 x00;TH1=0 xfd;/*波特率9600*/TL1=0 xfd;TR1=1;/*启动T1*/REN=1;/*允许接收*/while(1)/*循环*/P1=receive();/*将接收到的数据送P1口显示*/,思考题及习题1、89S51单片机串行口有几种工作方式?如何选择?简述其特点?2、串行通信的接口标准由那几种?3、在串行通信中通信速率与传输距离之间的关系如何?4、在利用RS-422/RS-485通信的过程如果通信距离(波特率固定)过长,应如何处理?5、利用单片机串行口扩展24个发光二极管和8个按键,要求画出电路图并编写程序使24个发光二极管按照不同的顺序发光(发光的时间间隔为1S)。6、编制中断方式的数据接收程序。7、简述89S51单片机多机通信的特点。8、在微机与单片机构成的测控网络中,要提高通信的可靠性要注意哪些问题?,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号