基于MAXII系列CPLD的微波炉控制器芯片设计.doc

上传人:文库蛋蛋多 文档编号:4141621 上传时间:2023-04-07 格式:DOC 页数:27 大小:468KB
返回 下载 相关 举报
基于MAXII系列CPLD的微波炉控制器芯片设计.doc_第1页
第1页 / 共27页
基于MAXII系列CPLD的微波炉控制器芯片设计.doc_第2页
第2页 / 共27页
基于MAXII系列CPLD的微波炉控制器芯片设计.doc_第3页
第3页 / 共27页
基于MAXII系列CPLD的微波炉控制器芯片设计.doc_第4页
第4页 / 共27页
基于MAXII系列CPLD的微波炉控制器芯片设计.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《基于MAXII系列CPLD的微波炉控制器芯片设计.doc》由会员分享,可在线阅读,更多相关《基于MAXII系列CPLD的微波炉控制器芯片设计.doc(27页珍藏版)》请在三一办公上搜索。

1、浙江科技学院嵌入式系统(EDA技术)课程设计报告书课题名称:基于MAX II系列CPLD的微波炉控制器芯片设计院、系 : 自动化与电气工程学院 专业班级: 建筑电气智能化 目 录第一章 绪论1第二章 设计目的2第三章 设计要求33.1 微波炉的功能要求33.2设计步骤3第四章 设计思想44.1微波炉定时器的工作过程44.2根据系统的基本要求4第五章 设计内容55.1状态控制电路模块65.2数据装载电路模块85.3计时器模块95.4状态控制源程序115.5控制器仿真及分析20设计总结23感 言24参考文献25第一章 绪论随着人们生活水平的提高和信息化的发展,家用电器层出不穷,各种功能也越来越完善

2、,其中微波炉是现代家庭的必备产品已经成为人们日用生活中的必不可少的厨房电器,大大降低了家庭妇女的工作量和工作强度,它的质量和性能的高低,将会极大的影响人们的生活水平和质量。为此,设计一个高质量的微波炉控制系统是非常有必要的。微波炉开始进入越来越多的家庭,他给人们的生活带来了极大的方便。微波炉由2450MHz的超高频来加热食物。他省时、省电、方便和卫生,作为现代的烹饪工具,微波炉的控制器体现着他的重要性能指标。目前大部分微波炉控制器采用单片机进行设计,带南路比较复杂性能不够灵活。本文采用先进的EDA技术,利用QuartusII工作平台和VHDL设计语言,设计了一种新型的微波炉控制器芯片,该芯片具

3、有系统复位、时间设定和烹饪计时的功能,用一片FPGA芯片实现,所以能设计出一款方便安全操作的微波炉是非常有必要的。第二章 设计目的该设计用语言在软件平台上通过编译、模拟、仿真,完成了微波炉控制功能,实现了微波炉的测试、时间设置、烹调计时、完成提示等设计,并对时钟分频作了一定的探讨。此设计采用了现场可编程逻辑器件的 设计,由控制模块、装载模块、计时模块和显示模块四大模块组成,而且它可以将所有器件集成在一块芯片上,体积大大减小,且外围电路很简单,易于实现。第三章 设计要求3.1 微波炉的功能要求要求设计一个基于MAXII系列的可调式数字闹钟,器件型号为EPM240T100C5,功能要求如下:1、上

4、电后,系统自动复位:数码管显示全零,功率选择小火档,显示“1”,三挡加热输出均为低电平; 2、可以进行烹调时间的分、秒设置,并使用数码管显示时间信息,设要求最长的烹调时间为59分59秒;3、可以选择加热功率,分三档:高火、中火、小火,分别显示“3”,“2”,“1”;4、按“开始”按键进入烹调状态,根据功率选择输出加热控制信号,时间显示数码管按每秒减1的倒计时方式显示剩余烹调时间;5、烹调定时结束后,输出通知信号,系统回到复位状态。3.2设计步骤采用VHDL的系统设计,一般有以下6个步骤:(1)要求的功能模块划分; (2)VHDL的设计描述(设计输入); (3)代码仿真模拟(前仿真); (4)计

5、综合、优化和布局布线; (5)布局布线后的仿真模拟(后仿真); (6)设计的实现(下载到目标器件)。 第四章 设计思想4.1微波炉定时器的工作过程 上电后,系统处于复位状态。工作时首先进行烹调时间设置,并使用数码管显示时间信息,设要求最长的烹调时间为59分59秒,时间设置完毕后系统自动回到初始状态;按开始烹调按键进入烹调状态,时间显示数码管按每秒减1的倒计时方式显示剩余烹调时间;烹调结束后,系统回到复位状态。 4.2根据系统的基本要求 (1) 计时电路的设计:芯片内部产生和外部提供。本例中由外部时钟电路以BCD码的形式提供。 (2) 时间设置出错及工作过程的取消等情况的处理:设置一个复位按键。

6、 (3)数码管状态的检测:设置数码管检测按键,按动该按键后,数码管能够显示“8888”的信息。 (4) 时间显示电路:采用4位7段显示数码管显示,并由芯片直接驱动。 (5) 微波管的驱动:设置一个输出驱动控制烹调状态的继电器即可。第五章 设计内容微波炉控制器的功能设计要求,本系统可由一下4个模块组成:状态控制器KZQ;数据装载器ZZQ;烹调计时器JSQ;显示译码器YMQ47;状态控制电路,其功能是控制微波炉工作过程中的状态转换,并发出相关控制信号;数据装载电路,其功能是根据控制信号选择定时时间,测试数据或计时完成信息的载入;计时器电路,其功能是对时钟进行减法计数,提供烹调完成时的状态信号。译码

7、器,就是负责将各种显示信息的BCD转换成七段数码管显示的驱动信息编码。微波炉控制器的系统框图如图1所示。其中,CLK为时钟输入信号,时钟上升沿敏感;RESET为复位信号,高电平有效时系统复位清零;TEST为数码显示管测试信号,高电平有效系统显示8888;SET_T高电平有效时允许设置烹饪时间;KEY为定时时间输入信号,用于设置烹饪时间的长短,其高到低分别表示时间分、秒的十位、个位;START为烹调开始信号,高电平有效时开始烹调;输出信号COOK指示微波炉状态,高电平时表示烹调进行时;SEC0 、SEC1、MIN0、MIN1分别表示秒个位、秒十位、分个位、分十位。图1 微波炉控制器的系统框图接通

8、电源初始状态时间设置显示8888烹调完成SET_TTEST?START?时间到?NYNYNYNY图2 工作流程图首先,对系统进行复位清零,使其各电路模块均处于初始状态;当烹饪时间设置信号SET_T有效时,读入时间信号KEY3.0的取值,此时系统显示设置的时间信息,再按下SET_T确定设置时间完成。按下开始键START,系统进入烹调状态,COOK信号变为高电平,时钟计数器开始减法计数,MIN1、MIN0、SEC1、SEC0显示剩余烹调时间。烹调结束,系统恢复初始状态。当系统处于复位清零状态时,按下显像管测试按钮TEST,将对显像管是否正常工作进行测试,正常工作时,显像管输出全8。5.1状态控制电

9、路模块状态控制器的功能根据输入信号和自身当时所处的状态完成状态的转换和输出相应的控制信号,其模块框图如图3所示。其中,输出信号LD_DONE指示数据装载电路载入的烹调结束的状态信息的显示的驱动信息数据;LD_CLK显示数据装载电路的设置的时间数据;LD_TEST指示数据装载电路载入的用于测试的数据,以显示驱动信息数据;COOK指示烹饪的状态,并提示计时器进行减法计算;KEY为定时时间输入信号,用于设置烹饪时间的长短,其高到低分别表示时间分、秒的十位、个位。当LD_DONE有效时,输出烹调结束数据。当LD_CLK有效时,输出烹调的设置时间数据。当LD_TEST有效时,输出数码管测试数据包括6个输

10、入信号和4个输出信号。图3 状态控制电路模块框图根据微波炉工作流程的描述,分析状态转换条件及输出信号,可以得到如图4所示的微波炉控制器的状态转换图。TEST/LD_TESTRESET初 始状 态IDLESET_CLOCKLAMP_TESTDONE_MSGTIMERSTART&DONE&TEST&SET_T/COOKLD_DONE/COOKX/LD_DONEX/LD_CLKSET_T&TEST/LD_CLKX/LD_TESTDONE/LD_DONE图4 状态控制器状态转换图减法计数定时状态T完成信息显示状态T烹调时间设置状态T显示译码测试状态T 图中,当RESET信号有效时,系统复位清零;输入/

11、输出对应烹调时间设置、显示译码测试、完成信号显示和减法计数定时四种状态进行相应的转换。 5.2数据装载电路模块数据装载电路的本质是一个三选一多路选择器,其模块框图如图5所示。图5 数据装载电路模块框图当LD_DONE有效时,输出烹调结束的信息数据数据。当L_CLK有效时,输出烹调的设置时间数据。当L_TEST有效时,输出数码管测试数据。LOAD信号为LD_DONE时DATEOUT输出KEY有效指示。5.3计时器模块 电路计时模块可以由十进制减法计数器和六进制减法计数器级联组成,其中,两个十进制的减法计数器用于分、秒的个位减法计数,两个六进制的减法计数器用于分、秒的十位减法计数。由六进制计数器和

12、十进制计数器级联构成的计时模块原理图如图6所示。图6 计时器模块原理图计时器模块的框图如图7所示。图7 计时器模块框图LOAD有效时完成装入功能,COOK(EN)有效时执行减计数;CLR由RESET发出信号,清除装载已存的数据;DONE返回给控制器,MIN和SEC显示所剩时间和测试状态信息、烹调结束状态信息等。显示译码控制部分的设计显示的数字或字母BCD编码七段显示驱动编码(ga)000000111111100010000110200101011011300111001111401001100110501011101101601101111101701110000111810001111111

13、910011101111d10101011110o10111011100n11001010100E11011111001设计内容状态控制符号图5.4状态控制源程序-状态控制电路的VHDL实现如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY controllor IS PORT( RESET:IN STD_LOGIC; -复位信号 KEY: IN STD_LOGIC_VECTOR(3 DOWNTO 0);-输入时间 SET_

14、T:IN STD_LOGIC;-时间设置信号 START:IN STD_LOGIC;-开始烹调信号 TEST:IN STD_LOGIC;-显示电路测试信号 CLK:IN STD_LOGIC; -时钟脉冲 DONE:IN STD_LOGIC;-完成信号 COOK:OUT STD_LOGIC;-指示烹调状态,提示计时器开始计数 LD_TEST:OUT STD_LOGIC;-指示数据装载电路载入的用于测试的数据 LD_CLK:OUT STD_LOGIC; -指示数据装载电路载入设置时间数据 DATA:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);-16位数据 LED_SET_T

15、:OUT STD_LOGIC; -LED显示状态 LD_DONE:OUT STD_LOGIC -LED显示完成 );END controllor;ARCHITECTURE rtl OF controllor ISTYPE STATES IS(IDLE,LAMP_TEST,SET_CLOCK,TIMER,DONE_MSG);SIGNAL NXT,CUR:STATES; -2个信号:下一状态、当前状态SIGNAL DATATMP:STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL SET_T0: STD_LOGIC; -设置时间信号BEGIN PROCESS(CLK,RES

16、ET) -时钟和复位的进程 BEGIN IF RESET=1 THEN -复位时将IDLE(显示0000)赋予当前状态 CUR=IDLE; ELSIF CLKEVENT AND CLK=1 THEN CUR=NXT; -如果不是,遇到上边沿则自动跳转下一状态 END IF;END PROCESS; PROCESS(RESET,KEY) -复位和输入的进程 BEGIN -可以让输入4位数字 显示时间 IF RESET = 1 THEN -复位时不论任何状态数码管都将显示0000 DATATMP 0); ELSE IF KEY(3)EVENT AND KEY(3) = 1 THEN -设置分的十位

17、 IF DATATMP(15 DOWNTO 12) = 0101 THEN -5自动跳转到0 DATATMP(15 DOWNTO 12) = 0000; ELSE DATATMP(15 DOWNTO 12) = DATATMP(15 DOWNTO 12) + 1; END IF; -否则自动加1 END IF; IF KEY(2)EVENT AND KEY(2) = 1 THEN -设置分的个位 IF DATATMP(11 DOWNTO 8) = 1001 THEN -9自动跳转到0 DATATMP(11 DOWNTO 8) = 0000; ELSE DATATMP(11 DOWNTO 8)

18、= DATATMP(11 DOWNTO 8) + 1; END IF; -否则自动加1 END IF; IF KEY(1)EVENT AND KEY(1) = 1 THEN -设置秒的十位 IF DATATMP(7 DOWNTO 4) = 0101 THEN -5自动跳转到0 DATATMP(7 DOWNTO 4) = 0000; ELSE DATATMP(7 DOWNTO 4) = DATATMP(7 DOWNTO 4) + 1; END IF; -否则自动加1 END IF; IF KEY(0)EVENT AND KEY(0) = 1 THEN -设置秒的个位 IF DATATMP(3 D

19、OWNTO 0) = 1001 THEN -9自动跳转到0 DATATMP(3 DOWNTO 0) = 0000; ELSE DATATMP(3 DOWNTO 0) = DATATMP(3 DOWNTO 0) + 1; END IF; END IF; -否则自动加1 END IF; DATA = DATATMP; END PROCESS; PROCESS(SET_T,RESET) -设置时间和复位进程 BEGIN IF RESET = 1 THEN -复位时设置时间变为低电平 SET_T0 = 0; ELSIF SET_TEVENT AND SET_T = 1 THEN -按下SET_T键时

20、SET_T0 = NOT SET_T0; -SET_T非它前之状态 END IF; IF SET_T0 = 1 THEN LED_SET_T = 1; -赋予SET_T持续电平 ELSE LED_SET_T = 0; -赋予SET_T持续电平 END IF; END PROCESS;PROCESS(CLK,CUR,SET_T,START,TEST,DONE) ISBEGIN NXT=IDLE; -将IDLE载入NXT LD_TEST=0; -复位 LD_DONE=0; LD_CLK=0; COOK -译码器显示测试状态 LD_TEST=1; COOK -烹调时间测试状态 LD_CLK=1; C

21、OOK -完成信息显示状态 LD_DONE=0; COOK -初始状态定义 IF TEST=1 THEN NXT=LAMP_TEST; -设置TEST LD_TEST=1; ELSIF SET_T0=1 THEN -设置 SET_T NXT=SET_CLOCK; LD_CLK=1; ELSIF START=1 AND DONE=0 THEN -设置计时模式 NXT=TIMER; COOK IF DONE=1 THEN -设置计时完成 NXT=DONE_MSG; LD_DONE=0; ELSE NXT=TIMER; COOKNULL; END CASE; END PROCESS;END rtl;

22、数据装载的符号图数据装载的源程序-数据装载电路的VHDL实现如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY loader IS PORT( DATAIN:IN STD_LOGIC_VECTOR(15 DOWNTO 0); -输入16位数据 LD_TEST:IN STD_LOGIC; LD_CLK:IN STD_LOGIC; LD_DONE:IN STD_LOGIC; DATAOUT:OUT STD_LOGIC_VECTOR(15 DOWNTO 0); -输出16位数据 LOAD:OU

23、T STD_LOGIC -选择状态 );END loader;ARCHITECTURE rtl OF loader ISBEGIN PROCESS(DATAIN,LD_TEST,LD_CLK,LD_DONE) CONSTANT ALLS:STD_LOGIC_VECTOR(15 DOWNTO 0)-测试信息 :=1000100010001000; -显示8888 CONSTANT DONE:STD_LOGIC_VECTOR(15 DOWNTO 0)-烹调完成信息 :=1010101111001101; VARIABLE TEMP:STD_LOGIC_VECTOR(2 DOWNTO 0); BEG

24、IN LOAD-测试 DATAOUT-烹调完成 DATAOUT DATAOUTNULL; END CASE; END PROCESS;END rtl;计时器电路的符号图计时器电路的源程序-十进制计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt10 IS PORT( CLK:IN STD_LOGIC; LOAD,CLR:IN STD_LOGIC; -CLR:清除数据 EN:IN STD_LOGIC; -信号使能 DATAIN:IN STD_LOGIC_VECTOR(3 DO

25、WNTO 0); -输入的4位数据 Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -输出的4位数据 CARRY_OUT:OUT STD_LOGIC -数据装载 );END cnt10;ARCHITECTURE rtl OF cnt10 IS SIGNAL TMP:STD_LOGIC_VECTOR(3 DOWNTO 0); -链接输入输出BEGIN -数据的信号 PROCESS(CLK,LOAD,CLR,EN) BEGIN IF CLR = 1 THEN -当CLR高电平,数据变为0000 TMP= 0000; ELSIF LOAD=1THEN -否则装载输入的数据 T

26、MP=DATAIN; ELSIF CLKEVENT AND CLK=0THEN -上升沿时,执行10进制减法 IF EN=1THEN IF TMP=0000THEN -0跳转到9 TMP=1001; ELSE -自动减1 TMP=TMP-1; END IF; END IF; END IF; IF TMP=0000THEN CARRY_OUT=1; -COOK=CARRY_OUT ELSE CARRY_OUT=0; END IF; END PROCESS; Q=TMP;END rtl;-六进制减法计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IE

27、EE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt6 IS PORT( CLK:IN STD_LOGIC; LOAD,CLR:IN STD_LOGIC; EN:IN STD_LOGIC; DATAIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CARRY_OUT:OUT STD_LOGIC );END cnt6;ARCHITECTURE rtl OF cnt6 ISSIGNAL TMP:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGIN PROCESS(CLK

28、,LOAD,CLR,EN) BEGIN IF CLR = 1 THEN TMP= 0000; ELSIF LOAD=1 THEN TMP=DATAIN; ELSIF CLKEVENT AND CLK=0THEN -上升沿时进行6进制减法 IF EN=1THEN IF TMP=0000THEN -0自动跳转到5 TMP=0101; ELSE TMP=TMP-1; -否则自动减1 END IF; END IF; END IF; IF TMP=0000THEN CARRY_OUT=1; -赋值给COOK ELSE CARRY_OUT=0; END IF; END PROCESS; Q=TMP;END

29、 rtl;-计时电路的VHDL实现如下:-计数器电路模块设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY counter IS PORT( COOK:IN STD_LOGIC; LOAD,CLR:IN STD_LOGIC; CLK:IN STD_LOGIC; DATA:IN STD_LOGIC_VECTOR(15 DOWNTO 0); SEC0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -秒个位 SEC1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -秒十位 MIN0:OUT STD_LOGIC

30、_VECTOR(3 DOWNTO 0); -分个位 MIN1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); -分十位 DONE:OUT STD_LOGIC -完成 );END counter;ARCHITECTURE rtl OF counter IS-定义十进制和六进制计数器电路模块COMPONENT cnt10 IS PORT( CLK:IN STD_LOGIC; LOAD,CLR:IN STD_LOGIC; EN:IN STD_LOGIC; DATAIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); -输入 Q:OUT STD_LOGIC_VEC

31、TOR(3 DOWNTO 0); -输出 CARRY_OUT:OUT STD_LOGIC -状态 );END COMPONENT cnt10;COMPONENT cnt6 IS PORT( CLK:IN STD_LOGIC; LOAD,CLR:IN STD_LOGIC; EN:IN STD_LOGIC; DATAIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CARRY_OUT:OUT STD_LOGIC );END COMPONENT cnt6;SIGNAL CLK0:STD_LOGIC;SIGN

32、AL S0:STD_LOGIC;SIGNAL S1:STD_LOGIC;SIGNAL S2:STD_LOGIC;SIGNAL S3:STD_LOGIC;BEGIN -元件例化 CLK0 = NOT CLK; U1:cnt10 PORT MAP(CLK0,LOAD,CLR,COOK,DATA(3 DOWNTO 0),SEC0,S0); U2:cnt6 PORT MAP(S0,LOAD,CLR,COOK,DATA(7 DOWNTO 4),SEC1,S1); U3:cnt10 PORT MAP(S1,LOAD,CLR,COOK,DATA(11 DOWNTO 8),MIN0,S2); U4:cnt6 PORT MAP(S2,LOAD,CLR,COOK,DATA(15 DOWNTO 12),MIN1,S3); DONEDOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7DOUT7=0000000; END CASE; END PROCESS;END ARCHITECTURE rtl;5.5控制器仿真及分析状态控制模块仿真数据载入模块仿真计数模块仿真显示模块仿真

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号