毕业设计基于VHDL语言的数字频带系统的建模与设计.doc

上传人:仙人指路1688 文档编号:4141912 上传时间:2023-04-07 格式:DOC 页数:66 大小:1.23MB
返回 下载 相关 举报
毕业设计基于VHDL语言的数字频带系统的建模与设计.doc_第1页
第1页 / 共66页
毕业设计基于VHDL语言的数字频带系统的建模与设计.doc_第2页
第2页 / 共66页
毕业设计基于VHDL语言的数字频带系统的建模与设计.doc_第3页
第3页 / 共66页
毕业设计基于VHDL语言的数字频带系统的建模与设计.doc_第4页
第4页 / 共66页
毕业设计基于VHDL语言的数字频带系统的建模与设计.doc_第5页
第5页 / 共66页
点击查看更多>>
资源描述

《毕业设计基于VHDL语言的数字频带系统的建模与设计.doc》由会员分享,可在线阅读,更多相关《毕业设计基于VHDL语言的数字频带系统的建模与设计.doc(66页珍藏版)》请在三一办公上搜索。

1、基于VHDL语言的数字频带系统的建模与设计目 录设计总说明IINTRODUCTIONII1 绪论11.1设计的意义与背景11.2 课题研究的主要内容22 数字调制与解调的原理32.1 数字调制与解调概述32.2 2ASK的调制与解调的原理42.3 2FSK的调制与解调的原理62.4 2CPSK的调制与解调的原理92.5 2DPSK的调制与解调的原理113软件和VHDL语言以及Quartus简介143.1 FPGA软件简介143.2 Quartus语言简介173.3 VHDL语言基础194 基于VHDL语言的数字频带系统的建模与设计244.1基于VHDL语言的数字频带系统概述244.2 基于VH

2、DL语言实现2ASK的调制与解调244.2.1 2ASK调制的实现 244.2.2 2ASK解调的实现 264.2.3 2ASK调制解调的仿真波形及分析294.3基于VHDL语言实现FSK的调制与解调314.3.1 2FSK调制的实现314.3.2 2FSK解调的实现354.3.3 2FSK调制解调的仿真波形及分析374.4基于VHDL语言的实现CPSK的调制与解调394.4.1 2CPSK调制的实现394.4.2 2CPSK解调的实现424.4.3 2CPSK调制解调的仿真波形及分析444.5 基于VHDL语言实现2DPSK的调制与解调464.5.1 2DPSK调制的实现464.5.2 2D

3、PSK解调的实现484.5.3 2DPSK调制解调的仿真波形及分析505 总结52致谢53参考文献54数字频带传输系统的建模与设计设计总说明:数字信号的传输方式分为基带传输和频带传输,在数字频带传输系统中,数字信号对高频载波进行调制,变为频带信号,通过信道传输,在接收端解调后恢复成数字信号。数字频带系统的建模与设计通过对于2ASK、2FSK、2PSK、DPSK调制解调的VHDL语言的设计,运用Quartus 软件进行编译和仿真,程序经过编译和仿真完全正确后将程序烧入单片机中,在硬件上实现2ASK、2FSK、2PSK、DPSK调制解调的功能。完成对于数字频带系统的建模与设计。二进制振幅键控(2A

4、SK)是载波信号随着基带信号的振幅变化;二进制频移键控(2FSK)是载波信号随着基带信号的频率变化;二进制相移键控(2PSK)是载波随着基带信号的相位变化,由于2PSK体制中相位的不确定性,差分相移键控(2DPSK)是载波随着基带信号的相对相移变化。数字调制就是将基带信号搬移到高频载波上,从而降低基带信号的低频分量,使信号与信道特性相匹配,实现信号在在信道中的传输。解调是接收端将在已调信号从高频载波上搬移下来,还原成为基带信号。基于VHDL语言实现2ASK、2FSK、2PSK、DPSK调制解调。VHDL(Very-High-Speed Integrated Circuit Hardware D

5、escription) 是一种标准的硬件描述语言,通过用VHDL语言编程在Quartus软件上实现2ASK、2FSK、2PSK、DPSK调制解调。并结合所编的调制解调程序完成对2ASK、2FSK、2PSK、DPSK调制解调逻辑电路的设计。在VHDL程序经过分析当前文件检查语法错误、分析与编译、分析与综合、适配后,完成全程编译。可以进行时序仿真,在Quartus 中可以清楚的分析仿真的波形,根具2ASK、2FSK、2PSK、DPSK调制解调的原理,分析波形的正确性。本设计通过VHDL语言进行数字频带传输系统的建模、程序设计与仿真、完成数字频带传输系统电路设计、完成数字频带传输系统的程序设计、完成

6、数字频带传输系统的仿真、绘制数字频带传输系统的系统设计图、波形仿真图。关键字:2ASK、2FSK、2PSK、DPSK、仿真、调制与解调、VHDL语言The Modeling and Design of digital band systemIntroduction: Digital signals mode of transmission can be divided into baseband transmission and band transmission. In digital band transmission system, digital signal modulates cl

7、ipped wave of high frequency and turns to band signal, and returns to digital signal after recipients demodulation through channel transmission. Through the design of VHDL language to modulate and demodulate 2ASK、2FSK、2PSK and DPSK, and through the adoption of Quartus to fulfill compilation and simu

8、lation, the system debugs itself on single chip, thus reaching the goal of 2ASK、2FSK、2PSK、DPSK in hardware and achieving the modeling and design of digital band system. By the modeling and design of digital band system, I have a basic understanding of the principle in 2ASK、2FSK、2PSK and DPSKs modula

9、tion and demodulation. 2ASK-carrier wave signal varies with the amplitude of baseband signal; 2FSK- carrier wave signal varies with baseband signals frequency; 2PSK- carrier wave signal varies with the phase of baseband signal; 2DPSK- carrier wave signal varies with relative phase-shifting. Digital

10、modulation means relocating baseband signal to clipped wave of high frequency so that the low frequency weight of baseband signal can be declined, signal and channels features are matched, and signal is transferred in channel. Demodulation refers to that the recipient makes modulated signal relocate

11、 from carrier wave signal of high frequency and return to baseband signal. We aim at realizing the modulation and demodulation of 2ASK、2FSK、2PSK and DPSK in VHDL language. VHDL(Very-High-Speed Integrated Circuit Hardware Description) is a standard language describing hardware programming through Qua

12、rtus software to finish 2ASK、2FSK、2PSK and DPSK modulation and demodulation. And the design of logic circuit will be completed if combined with the compiled modulation and demodulation process. After the analysis of the current program, checkup of grammatical errors, analysis and compilation, analys

13、is and synthesis, and adaptation, the entire compilation will be achieved. Timing sequence can be simulated in Quartus and we get the clear analysis of the simulated wave form based on the principle in 2ASK、2FSK、2PSK and DPSKs modulation and demodulation, and analyze the validity of wave form. Key w

14、ords: 2ASK;2FSK;2PSK;DPSK; simulation; modulation and demodulation; VHDL language1 绪论1.1设计的意义与背景随着当今电子信息技术的快速发展,现代计算机技术与微电子技术的结合越来越紧密,而利用高层次的VHDL/Verilog语言等硬件描述语言对于现场课编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)进行设计,使之成为集成电路(ASIC),这很大程度上缩短了设计的开发周期和开发的成本。VHDL等设计语言的出现和ASIC的应用极大地促进了现代通信技术的发展,尤其是对数字通信系统的ASIC芯片的研究有重要的实践意

15、义。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。EDA技术基于计算机为工作平台把数字通信技术、微电子技术和电子设计自动技术结合了起来,融合应用电子技术

16、、计算机技术、智能化技术等最新研究成果研制而成的电子CAD通用软件包。EDA技术主要应用于辅助设计三方面的工作:IC技术、PCB设计、电子电路系统设计,将硬件设计软件化,使之在电子系统设计中能过突破一些技术瓶颈,加速了通信系统的设计速率,提高了产品的性价比。EDA技术在电子设计数字系统中有广泛的应用,是当今集成电子电路数字系统设计中的排头兵,随着现代社会对信息化和数字化的迫切需求,EDA技术必然会进入一个快速飞跃的阶段。目前通信传输早已不是单一的语音传输,而是包括了图像、文字、视频等复杂业务的传输,所以对通信系统的性能的要求越来越高,而数字频带系统作为一切数字通信传输的基础,无论在多么复杂的数

17、字通信传输中数字频带系统永远都会存在,掌握数字频带系统的原理以及设计,对于复杂的通信系统设计具有基础性的作用,学好它也有利于认识和理解以后日新月异的通信产品,对以后再通信领域的发展有重要的意义。1.2 课题研究的主要内容课题主要研究了数字频带系统VHDL的建模与设计,主要包括了:能够认识VHDL,理解VHDL的语法和编程结构,熟悉VHDL中的各种函数及逻辑关系;学习并能够熟练的使用VHDL对数字系统进行建模与设计,用VHDL实现二进制振幅键控(2ASK)、二进制频移键控(2FSK)、二进制相移键控(2PSK)、差分相移键控(2DPSK)的调制与解调;通过对数字频带系统基于VHDL的建模与设计,

18、解决在程序中出现的错误和问题,提升对于VHDL的运用能力;并对应的VHDL程序设计关于2ASK、2FSK、2PSK、2DPSK调制解调模型的逻辑电路;完全掌握2ASK、2FSK、2PSK、2DPSK调制解调的基本原理,并在Quartus软件中实现2ASK、2FSK、2PSK、2DPSK调制解调的仿真,分析其波形,能够运用调制解调的原理解释所仿真的波形。在设计基于VHDL的数字频带系统的基础上,深入的了解关于FPGA可编程逻辑电路的运用,能够自己独立运用VHDL设计一些在日常生活中和通信、电子技术的一些常用的数字电路模型。 2 数字调制与解调的原理2.1 数字调制与解调概述调制是指将各种数字基带

19、信号转换成适于信道传输的数字调制信号(已调信号或频带信号),解调是在接收端将收到的数字频带信号还原成数字基带信号。在时域中调制就是用基带信号去控制载波信号的某个或几个参量的变化,将信息荷载在其上形成已调信号传输,而解调是调制的反过程,通过具体的方法从已调信号的参量变化中将恢复原始的基带信号。在频域中调制就是将基带信号的频谱搬移到信道通带中或者其中的某个频段上的过程,而解调是将信道中来的频带信号恢复为基带信号的反过程.调制的目的是把要传输的模拟信号或数字信号变换成适合信道传输的信号,这就意味着把基带信号(信源)转变为一个相对基带频率而言频率非常高的代通信号。该信号称为已调信号,而基带信号称为调制

20、信号。调制可以通过使高频载波随信号幅度的变化而改变载波的幅度、相位或者频率来实现。调制过程用于通信系统的发端。在接收端需将已调信号还原成要传输的原始信号,也就是将基带信号从载波中提取出来以便预定的接受者(信宿)处理和理解的过程。该过程称为调制解调计算机内的信息是由“0”和“1”组成数字信号,而在电话线上传递的却只能是模拟电信号(模拟信号为连续的,数字信号为间断的)。于是,当两台计算机要通过电话线进行数据传输时,就需要一个设备负责数模的转换。这个数模转换器就是我们这里要讨论的Modem。计算机在发送数据时,先由Modem把数字信号转换为相应的模拟信号,这个过程称为“调制”,也成D/A转换。经过调

21、制的信号通过电话载波传送到另一台计算机之前,也要经由接收方的Modem负责把模拟信号还原为计算机能识别的数字信号,这个过程我们称“解调”,也称A/D转换。正是通过这样一个“调制”与“解调”的数模转换过程,从而实现了两台计算机之间的远程通讯。数字调制与解调的常用方法 由于数字调制具有离散值的特点,数字调制的方法有两种:(1) 利用模拟调制的方法来实现数字调制,即把数字调制看成模拟调制的一种特列,把数字信号当成模拟信号的一种特殊情况来处理。(2) 利用数字信号离散值的特点通过开关键控载波,从而实现数字调制这种方法通常称为键控法,比如对载波的振幅、频率和相位进行键控,便可获得振幅键控(ASK)、频移

22、键控(FSK)、相移键控(CPSK)和差分相移键控(DPSK)等基本的数字调制方式。解调的方式分为相干解调和非相干解调:(1)相干解调相干解调(Coherent Demodulation)所谓相干,泛泛地说就是相互干扰,相干解调是指利用乘法器,输入一路与载频相干(同频同相)的参考信号与载频相乘。比如原始信号 A 与载频 cos(t + ) 调制后得到信号 Acos(t + );解调时引入相干(同频同相)的参考信号 cos(t + ),则得到:Acos (t+)cos(t+) (2-1)利用积化和差公式可以得到A*1/2*cos (t+t+)+cos(t+-t-)=A*1/2*cos (2t+2

23、)+cos(0)=A/2*cos (2t+2)+1=A/2+A/2cos (2t+2) (2-2)利用低通滤波器将高频信号cos(2t+2)滤除,即得原始信号 A。因此相干解调需要接收机和载波同步;而非相干解调不使用乘法器,不需要接收机和载波同步(2) 非相干解调在通信系统中,接收端想要从被调制的高频信号中恢复出原来的数字基带信号,就需要对接收信号进行解调。所谓非相干解调,即不需提取载波信息(或不需恢复出相干载波)的一种解调方法。非相干解调是解调方法的一种,是相对相干解调而言的,非相干解调是通信原理中的一种重要的解调方法,无论在模拟系统和数字系统中都非常重要。非相干解调的优点是可以较少的考虑信

24、道估计甚至略去,处理复杂度降低,实现较为简单,但相比相干解调方法性能下降,从定量角度来看,普遍的结果是非相干解调性能上比相干解调差3dB。2.2 2ASK的调制与解调1. ASK调制的原理键控是利用载波的幅度变化来传递数字信息,其频率和初始相位保持不变,在2ASK中,载波幅度只有两种变化状态,分别对应二进制信息“0”和“1”。一种常用的也是最简单的二进制监控方式称为通-断键控,其表达式为:At 以概率P发送“1”时( t ) = (2-3)0 以概率1P发送“0”时2ASK信号的一般表达式为: s(t) = g(t - n) (2-4) 1 概率为P其中: = (2-5) 0 概率为1P Ts

25、是二进制基带信号时间间隔,g(t)是持续时间为Ts的矩形脉冲 1 0t其中:g(t)=(2-6) 0 其他t则二进制振幅键控信号可表示为 ( t ) = g(t - n) cost (2-7)2ASK产生的两种方法为模拟调制法(相乘器法)和键控法,其原理框图如图3-1和3-2所示:乘法器二进制不归零信号 输出 cos 图3-1 用相乘器实现 2ASK调制原理框图cos 开关电路(t)s(t)图3-2 用键控法实现2ASK调制原理框图2. ASK解调的原理2ASK解调也有两种基本的解调方法:非相干解调法(包络检波法)和相干解调法(同步检测法),相应的接收系统组成图如图3-3和3-4所示。与模拟信

26、号的接收系统相比,这里增加了一个“抽样判决器方框”,这对于提高数字信号的接收性能是很有必要的。抽样 判决器低通滤波器全波滤波器带通滤波器 输出 抽样 判决图3-3 用非相干解调实现2ASK解调原理框图抽样判决器低通滤波器相乘器带通滤波器抽样cost判决图3-4用相干解调法实现2ASK解调原理框图2.3 2FSK的调制与解调1. FSK的调制的原理频移键控就是利用载波的频率变化来传递数字信息。在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点间变化。故其表达式为Acos(t+) 发送“1”时( t ) =(2-8) Acos(+ ) 发送“0”时可以看出一个2FSK信号可以看成两个不

27、同载频的2ASK信号的叠加,所以2FSK信号的表达式又可以写成( t ) =g(t - n)cos(t+)+ g(t - n cos(t+) (2-9)在式中:g(t)为单个矩形脉冲,脉宽为1 概率为P =(2-10)0 概率为1P 是的反码,若=1则=0;若 =0则 =1,于是1 概率为1-P = (2-11)0 概率为P 和分别是第n个信号码元(1或0)的初始相位。在频移键控中和不携带任何信息,通常为零。所以,2FSK信号的表达式可简化为( t ) =g(t - n)cos(t)+ g(t - n cos(t (2-12)2FSK信号的产生方法也有两种。一种是才用模拟调制电路来实现,这里不

28、再阐述。例外一种是可以采用键控法来实现,即在二进制基带矩形脉冲序列的控制下通过开关电路对两个不同独立频率进行选通,使其在一个码元期间输出和两个载波之一,其原理图如图3-5所示:相加器振荡器选通开关反相器选通开关振荡器振荡器选通开关反相器选通开关振荡器输入输出图3-5 用键控法实现2FSK的调制原理框图 用这两种方法产生的2FSK信号的差异在于:由调频法产生的2FSK信号在相邻码元之间的相位是连续变化的。(称为连续相位的FSK),而键控法产生的2FSK信号,是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续。2. 2FSK解调的原理2FSK解调依然有两种方法:非相干解调法

29、和相干解调法。其解调的原理是将2FSK信号分为上下两路2ASK信号分别进行解调,然后进行判决。这里的抽样判决是直接比较两路信号值的大小,判决规则与调制规则要相呼应,调制时若规定“1”符号对应载波频率则接收时上之路的抽样值较大,应判为“1”;反之判为“0”。相干解调和非相干解调法的原理图分别如图3-6和3-7所示低通滤波器相乘器低通滤波器相乘器BPFBPF抽样判决器输入定时脉冲 输出图3-6 用相干解调法实现2FSK的解调原理框图BPFBPF包络检波器包络检波器抽样判决器输入 定时脉冲 输出图3-7用非相干解调法实现2FSK的解调原理框图2.4 2CPSK的调制与解调1. CPSK调制的原理相移

30、键控是利用载波的相位变化来传输数字信息的,而振幅和频率保持不变。在2CPSK中,通常用初始相位“0”和“”来表示二进制的“0”和“1”。因此,2CPSK信号的时域的表达式为( t ) =Acos(t +) (2-13)其中,表示第n个信号的绝对相位:0 发送“0”时 = (2-14)1 发送“1”时所以,2CPSK表达式又可以写成:Acost 概率为P( t ) =(2-15)Acost 概率为1P由于表示信号的两种码元的波形相同,极性相反,故2CPSK信号一般可以表述为一个双极性全占空比矩形脉冲与一个正弦载波相乘,即( t )= s (t) cost (2-16)其中s(t) = g(t -

31、 n) 这里g(t)是脉冲宽度为的单个矩形脉冲,而的统计特性为概率为P =(2-17)-1 概率为1P即发送二进制符号“0”时(取+1),( t )取0相位;发送二进制符号“1”时(取-1),( t )取相位。这种以载波的不同相位直接去表示响应的二进制数字信号的调制方式,称为二进制的绝对相移方式。对于2CPSK调制的原理图和2ASK信号产生的方法相比较,只是对s(t)的要求不同,在2ASK中s(t)是单极性的,而在2CPSK中s(t)是双极性的基带信号。对于2CPSK的调制的实现也有两种方法;模拟调制法和键控法,其原理图分别如图3-8和3-9所示码型变换乘法器s(t)双极性( t )不归零 c

32、ost图3-8用相乘器实现2CPSK调制原理框图 开关电路移向cost0( t ) s(t)图3-9用键控法实现2CPSK调制原理框图2. 2CPSK解调的原理2CPSK信号的解调通常使用相干解调法,在相干解调中要注意相干载波必须与2CPSK信号是同频同相的,其相干解调的原理图如图3-10所示抽样判决器低通滤波器相乘器带通滤波器( t ) 定时cost脉冲图3-10用相干解调法实现2CPSK解调原理框图2.5 2DPSK的调制与解调1. DPSK调制的原理在2CPSK中,相位变化是以未调载波的相位作为参考基准的。由于它利用未调载波相位的绝对值表示数字信息,所以称为绝对相移。已经指出,2CPSK

33、相干解调时,会存在着的相位模糊,即恢复的本地载波与相干载波可能调相,也可能反相,这种相位关系的不确定性将会造成解调出的数字基带信号与发送的数字基带信号正好相反,即“1”变为“0”,“0”变为“1”,判决输出的数字信号全部出错,称为倒现象或反相工作。所以2CPSK难以实用。为了克服这个缺点,提出了2DPSK(差分相移键控)。2DPSK是利用前后相邻码元的载波相对相位变化数字信息,又叫相对相移键控。当前码元与前一码元的载波相位差用 来表示,定义0 表示数字信息“0” (2-18) 表示数字信息“1”例如一组数字信息与其对应的2DPSK信号的载波相位关系二进制数字信息: 1 0 1 1 0 1 1

34、02DPSK信号相位:(0) 0 0 或 () 0 0 0 0 0 0由此可知,对于相同的基带数字信息序列,由于初始码元的参考相位不同,2DPSK信号的参考相位可以不同。也就是说,2DPSK信号的相位并不直接代表基带信号,而前后码元的相对相位差才确定唯一的信息符号。从而解决了载波相位不确定的问题。对于2DPSK的调制,先对二进制基带信号进行差分编码,即把数字信号序列的绝对码变为相对码,然后再根据相对码进行绝对调相,从而产生二进制差分相移键控信号,2DPSK调制的原理框图如图3-11所示cost相移码变换0开关 (t) S(t)图3-11用键控法实现2DPSK调制原理框图2. DPSK解调的原理

35、 2DPSK也有两种解调方法:一种是相干解调(极性比较法)加码变换法;还有一种是差分相干解调法(相位比较法)。前者的原理框图如图3-12所示带通滤波器相乘器低通滤波器抽样判决器码反变换器 输出 cost定时脉冲图3-12用相干解调法实现2DPSK解调原理框图对2DPSK进行相干解调,恢复出相对码,再经码变化器变化为绝对码,从而恢复出发送的二进制数字信息。在解调的过程中,由于载波的相位模糊性的影响,使得解调出的相对码也可能是“1”和“0”的倒置,但经差分译码(码反变换)得到的绝对码不会发生任何倒置的现象,从而解决了相位模糊问题。差分相干解调的原理图如图3-13所示 带通滤波器相乘器低通滤波器抽样

36、判决器延迟定时脉冲图3-13用差分相干解调法实现2DPSK解调原理框图用相位比较法来对2DPSK信号进行解调,不需要专门的相干载波,只需要将收到的2DPSK信号延时一个码元周期 ,然后与2DPSK本身信号相乘,相乘器起着相位比较的作用,相乘结果反映了前后码元的相位差,经过低通滤波器后再抽样判决,就可以直接回复出原始数字信息,不需要码反变换器。3 FPGA和VHDL以及Quartus简介3.1 FPGA简介1 FPGA是什么FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成

37、电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flipflop)或者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路

38、试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个

39、概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。2 基本特点:(1)采用FPGA设计ASIC电路(特定用途集成电路),用户不需要投片生产,就能得到合用的芯片。(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。(3)FPGA内部有丰富的触发器和I/O引脚。(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。(5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统

40、提高系统集成度、可靠性的最佳选择之一。3 FPGA的应用:(1)产品设计把相对成熟的技术应用到某些特定领域如通讯,视频,信息处理等等开发出满足行业需要并能被行业客户接受的产品这方面主要是FPGA技术和专业技术的结合问题,另外还有就是与专业客户的界面问题产品设计还包括专业工具类产品及民用产品,前者重点在性能,后者对价格敏感产品设计以实现产品功能为主要目的,FPGA技术是一个实现手段在这个领域,FPGA因为具备接口,控制,功能IP,内嵌CPU等特点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计。(2)系统级应用系统级的应用是FPGA与传统的计算机技术结合,实现一种FPGA版的计算机系统

41、如用XilinxV-4, V-5系列的FPGA,实现内嵌POWERPCCPU, 然后再配合各种外围功能,实现一个基本环境,在这个平台上跑LINUX等系统这个系统也就支持各种标准外设和功能接口(如图象接口)了这对于快速构成FPGA大型系统来讲是很有帮助的。这种山寨味很浓的系统早期优势不一定很明显,类似ARM系统的境况但若能慢慢发挥出FPGA的优势,逐渐实现一些特色系统也是一种发展方向。4 Cyclone 系列FPGA(1)概述Cyclone系列FPGA是目前ASIC应用饿低成本应用方案。ASIC开发涉及到大量的工程资源,设计仿真和验证,需要多次进行重制。利用其系统集成功能,Cyclone 系列F

42、PGA避免了ASIC昂贵的NRE负担(NRE是Non-Recurring Engineering的缩写,NRE费用即一次性工程费用,是指集成电路生产成本中非经常性发生的开支),降低了订购量和产品推迟的带来的风险。采用Cyclone 系列FPGA,大批量应用现在可以采用价格相当的可编程解决方案。新的市场发展趋势,如世界标准、平台融合、交互性以及技术改进等,不断的推动可对高性价比方案的需求。Cyclone 系列FPGA的价格满足了市场对创新的要求,通过产品迅速面市来确定领先优势。现在通信、计算机外设、工业和汽车等低成本大批量应用市场都应用Cyclone 系列FPGA。(2)性能特性Cyclone器件的性能足以和业界最快的FPGA进行竞争。Cyclone 系列FPGA综合考虑了逻辑器、存储器、锁相环(PLL)和高级I/O接口。Cyclone 系列FPGA有以下特性。 成本优化的构架。Cyclone 系列FPGA具有20060个逻辑单元,Cylone器件的逻辑资源可以用来实现复杂的应用。 外部存储器接口。Cyclone器件具有高级外部存储器接口,允许设计者将外部单数据率(SDR),双数据率(DDR)、SDRAM和DDRRAM器件集成到复杂系统设计中,而

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号