通信信号处理及传输实验指导书.doc

上传人:文库蛋蛋多 文档编号:4142291 上传时间:2023-04-07 格式:DOC 页数:132 大小:7.79MB
返回 下载 相关 举报
通信信号处理及传输实验指导书.doc_第1页
第1页 / 共132页
通信信号处理及传输实验指导书.doc_第2页
第2页 / 共132页
通信信号处理及传输实验指导书.doc_第3页
第3页 / 共132页
通信信号处理及传输实验指导书.doc_第4页
第4页 / 共132页
通信信号处理及传输实验指导书.doc_第5页
第5页 / 共132页
点击查看更多>>
资源描述

《通信信号处理及传输实验指导书.doc》由会员分享,可在线阅读,更多相关《通信信号处理及传输实验指导书.doc(132页珍藏版)》请在三一办公上搜索。

1、通信信号处理及传输实验指导书电子科技大学通信学院李玉柏 崔琳莉 武畅 杨炼目 录第一部分 通信信号处理及传输实验总体介绍1第二部分 实验设备介绍3第三部分 通信信号处理及传输实验11实验一 软件无线电实验平台基本通信实验11实验二 脉冲成型实验21实验三 数字上下变频30实验四 基带载波调制技术实验40实验五 基带载波解调技术实验54实验六 无线信道性能测试实验67实验七 无线收发综合实验78实验八 小型软件无线电系统SSF-SDR综合实验88附录A 信号发生器使用说明107附录B 射频GUI使用说明125第一部分 通信信号处理及传输实验总体介绍一、通信信号处理及传输实验的任务通过本课程的实验

2、,要求学生能够基于可编程的、可设计的、模块化的软件无线电硬件平台,通过FPGA设计、DSP程序设计来完成各项通信功能,如脉冲成型、上下变频、调制解调等等;深入理解通信系统中各组成模块的实现原理,融汇贯通学生在通信专业的主要知识体系;同时通过本课程实验,让学生了解通信系统中的关键技术,了解实际硬件系统与理论的联系与区别,切实增强学生理论联系实际的能力。二、通信信号处理及传输实验简介通信信号处理及传输实验包含7个基础型、综合型实验项目以及1个创新设计型实验项目。单套实验设备包括PC机、软件无线电实验箱、基带信号发生器、DSP仿真器、FPGA仿真器等硬件平台,以及CCS、ISE等软件仿真平台。同时需

3、要信号发生器、示波器等测试仪器。三、信号与系统课程适用的专业通信、电子信息类等专业。四、通信信号处理及传输实验涉及的核心知识点实验内容涉及通信信源编解码、信号成型滤波与均衡、数字载波调制和解调、无线信道中通信性能分析、信道编码算法、无线收发、信道噪声特性分析、点对点通信、多径干扰分析等。同时实验涉及基于DSP的分析设计方法和基于FPGA的分析设计方法。五、信号与系统实验的重点与难点对软件无线电通信中各重点模块的理解和设计,如波束形成、数字滤波器、上/下变频、软件无线电中的数字载波调制、软件无线电中的信号处理算法、无线信道中通信性能分析、软件无线电中的信道编码算法、射频及模拟前端等。六、考核方式

4、实验报告。 七、总学时 40学时。八、教材名称及教材性质陈祝明,软件无线电技术基础,高等教育出版社九、参考资料杨小牛,楼才义等。软件无线电原理与应用,电子工业出版社,2001年1月第二部分 实验设备介绍通信信号处理实验是基于可编程的、可设计的、模块化软件无线电硬件平台,通过FPGA设计、DSP程序设计来完成各项通信功能。实验设备包括PC机、软件无线电实验箱、基带信号发生器、DSP仿真器、FPGA仿真器等硬件平台,以及CCS、ISE等软件仿真平台。同时需要信号发生器、示波器等测试仪器。其中软件无线电试验箱是整个实验的基本平台。2.1 软件无线电实验箱软件无线电试验箱主要着重软件无线电系统若干关键

5、技术的演示和开发。主要包括内容有波束形成、数字滤波器、上/下变频、软件无线电中的数字载波调制、软件无线电中的信号处理算法、无线信道中通信性能分析、软件无线电中的信道编码算法、射频及模拟前端等。实验系统平台如图2.1所示。图2.1 软件无线电实验平台系统特点:软件无线电实验平台的最大特点是实现了全数字系统。系统的架构合理,结构简洁,整体上采用ARM+DSP+FPGA+RF的构架,可以实现任何典型的无线通信方式。同时实验平台配有功能强大的标准基带信号发生器,用来产生各种调制信号,模拟各种无线信道对信号的影响,使学生可以直观地体验到无线信道对不同调制方式的影响。实验平台硬件是开放的,可以进行二次开发

6、和创新开发。可以对平台中的ARM、DSP、FPGA进行编程形成新的应用和研究系统。系统结构:软件无线电实验平台的系统结构如图2.2和图2.3所示。图2.2 软件无线电实验平台的系统结构图2.3 软件无线电实验平台的系统框架结构硬件平台:l 射频模块OURS-SDR0701-BP射频子系统采用流行的直接变频技术,形成零中、低中频通信系统。零中频和低中频通信系统是现在主流的数字通信和移动通信的射频架构。具备可以调节的发射功率,可以调节的接受增益,方便形成AGC环路。RF Module频段800950MHZ 标配发射功率 10dbm工作方式半双工接收灵明度 -70dbm控制接口通过数字接口实现 频段

7、,增益等参数的配置变频方式直接上下变频方式模拟带宽50MHZl 滤波器模块不同的通信系统需要使用不同的滤波器模块,系统提供不同的滤波器模块支持。Filter ModuleLPF截至频率1M 6阶巴特沃斯 标配l AD/DA模块高速双路AD/DA系统,完成模拟域和数字域的信号转换。DA module输出2路电压输出,同步输出电压单端输出,Vpp=2V采样率20M 精度10bit接口并行电源管理可关断AD module输入2路单端输入输入电压单端输入,Vpp=2V采样率20M20M为标配采样精度10bit接口并行电源管理可关断l FPGA模块系统支持不同型号和容量的FPGA,适合多种不同应用。FP

8、GA XilinxSpartan: XC2S400 (40万门)标配l DSP模块该系统支持不同的DSP。DSP TITMS320C6713 (浮点、200M)标配l ARM模块作为高级的通信系统,高性能多功能的主控处理器是不可缺少的。ARMCPUS3C2410 ARM9 32bit 200M 标配SDRAM64M 32bit PC-100FLASH64M Nand FlashLCD4.3 真彩TFT Ethernet10/100MUSB1.1 host/deviceAudio44.1K IIS 立体声CD音质,MIC输入2.2 基带信号产生器及软件基带信号发生器是一款基于包传输格式的信号发生

9、器。它的主要特点是:能够产生FSK、MPSK、BPSK、DBPSK、MSK、GMSK等多种调制方式的基带发送信号;并能够模拟多种具体实现产生的系统影响和实际信道影响模型,来帮助构建真实的无线通信网络环境。基带信号发生器将产生的信号发送给接收机,用来验证接收机的性能。功能调制类型连续相位的FSKBPSK、DBPSKQPSK、OQPSK、DQPSK、/4DQPSKMSK、GMSK信道模型加性白高斯噪声信道 (AWGN)多径信道 (multipath fading) 临信道干扰模型(ACI)单频干扰 (STI)RF影响模型载波频率偏移(carrier frequency offset )相位噪声(p

10、hase noise)IQ幅度不平衡 (I/Q gain imbalance)IQ相位不平衡(I/Q phase imbalance)放大器非线性(amplifier nonlinearity)l 无线基带信号发生器硬件:图2.4 基带信号发生器l 无线基带信号发生器软件:图2.5 基带信号发生器软件2.3 实验系统的安装l 软件无线电实验平台的安装和连接图 2.6 实验平台连接示意图 插入电源; 打开实验平台电源开关; 安装好射频天线l 基带信号发生器的安装和连接图 2.7 基带信号发生器连接示意图 插入电源并打开基带信号发生器电源开关; 插入以太网线; 连接数据线。l 实验平台与基带信号发

11、生器的连接图2.8 实验平台与基带信号发生器的连接示意图将信号发生器的信号输出端口分别与软件无线电实验平台的 RXI/RXQ 连接。l 实验平台与下载器的连接图2.9 实验平台与下载器连接示意图将FPGA下载器与软件无线电实验平台的 JTAG端口中的 FPGA端口连接。类似,可将DSP仿真器与实验平台的JTAG端口中的DSP端口连接。注意事项1. 注意阅读用户使用手册,尤其注意避免不必要的热插拔。使用者要熟悉与软件无线电实 验平台配套的用户手册,严格按操作规范使用。比如严禁在实验平台通电时,插拨实验平台机的 并行/串行/USB 数据接口线,否则会造成实验平台主板被烧毁。2. 规范的配件,在选购

12、射频天线时,除了看质量外,一定要注意天线的工作频段;在选购 信号发生器与电脑连接的网线时,一定要注意必须选用双绞线。3. 保持良好的卫生习惯,定期清洁。这样可以避免灰尘的沉积。第三部分 通信信号处理及传输实验实验一 软件无线电实验平台基本通信实验一、 实验名称:软件无线电实验平台基本通信实验二、 实验目的:1. 了解软件无线电实验平台基本模块:ARM模块、FPGA模块、DSP模块、BASEBAND ADDA模块及RF模块的功能及其工作原理;2. 熟悉CCS、ISE软件平台;能够熟练地对DSP及FPGA程序进行调试;3. 掌握TMS320C6713的HPI接口;通过发送HPI中断给ARM,熟悉A

13、RM与DSP的通信方式;4. 掌握AD9201,AD9761的工作原理以及工作时序图;编写FPGA控制AD、DA的VHDL程序;三、 实验原理:1. 软件无线电实验平台组成及工作原理高级软件无线电综合实验系统平台由6个模块组成,分别如下:ARM模块、FPGA模块、MCU模块、DSP模块、BASEBAND ADDA模块、RF模块。各模块功能如下:ARM(S3C2410)模块其上的操作系统为WINCE5.0,提供用户交互界面。应用程序与FPGA的交互通过SPI接口驱动实现,应用程序与DSP(C6713)的交互通过HPI接口驱动实现;DSP(C6713)模块主要实现基带信号的调制与解调。其与ARM的

14、接口为HPI;与FPGA的接口是MCBSP;FPGA(XC3S400)主要实现外部模拟信号的AD采样,以及数字与模拟转换的DA控制,与RF模块联合实现CC2420的功能。其与DSP的接口为MCBSP,与MCU(C8051F120)是通过SPI以及MCU的P1、P3口实现交互;MCU模块主要实现对RF发射功率,发射、接收信道等一些工作参数配置。其与FPGA的交互是通过SPI以及P1、P3口实现的;BASE BAND ADDA模块主要实现数模转换的功能;RF模块主要实现将基带信号转化为射频信号,然后发射出去。其与FPGA的通信是通过RF自定义接口实现的。各模块间的通信以及接口示意如图1所示:图1

15、软件无线电实验平台模块结构2. DSP与ARM通信原理DSP与ARM通过HPI接口协同工作,实现通信。ARM主要提供用户交互的界面,用户可以在程序界面中输入传输的数据,ARM将用户输入的数据通过HPI口发送给DSP,并且通知DSP开始工作。DSP在接收到ARM发送的开始工作命令后,从固定的地址获取ARM传送的数据长度以及数据存放地址,然后到相应地址读取数据,将读取到的数据进行搬移,搬移完毕后发送HINT中断给ARM通知DSP数据操作已经完成。ARM接收到DSP发送的HINT中断后从DSP相应位置读取DSP搬移后的数据,重新在应用程序界面中显示。工作原理简易框图如图2所示:图 2 DSP和ARM

16、工作原理框图HPI接口简介HPI口是并行接口, HOST可以通过HPI口直接访问DSP的存储空间。HOST作为HPI接口的主控,访问非常便捷。HOST与DSP可以通过内部或者外部存储器交换信息。并且HOST端可以直接访问内存映射的外设。HPI与DSP的CPU连接是通过DMA或者EDMA实现的。HOST以及DSP都可以访问HPI控制寄存器HPIC。通过外部数据以及接口控制信号HOST端可以访问HPI地址寄存器HPIA,HPI数据寄存器HPID。C64XX系列DSP端CPU也可以访问HPIA。TMS320C6713的HPI硬件接口如图3 所示:图 3 DSP HPI 接口示意图其时序图如图4:图4

17、 HPI 时序图DSP程序示例DSP与ARM通信完成如下内容:1. 在数据输入界面中输入数据按ENTER后,ARM将用户输入的数据长度一通过HPI口写在DSP的SDRAM的0X20008地址中;把用户输入数据所存储的地址放在0x10000的地址单元中;把数据写在0X20004(存储在0X1000)开始的位置。置位FLAG=1通知DSP用户已经输入数据。2. DSP在检测到0x20000存储的数据为1后,首先从0X20008获取用户输入的数据长度;然后从0X1000获得用户输入的数据存储在何位置。在得到位置与长度信息后,DSP程序将数据拷贝到0x80000000为开始的位置。完成数据搬移后,DS

18、P发送HINT中断,通知ARM,数据处理已经完成。如上功能的DSP示例程序如下:while(1)flag=*(int *)(0x20000);if(flag=1)temp=(*(int *)0x20004);pData=(int *)temp;iCountData=*(int *)0x20008);pMovedData=(int*)0x80000000;(*pMovedData+)=iCountData;for (i=1;i=iCountData;i+)(*pMovedData+)=(*pData+);HPI_setHint(1); 3. FPGA控制AD、DA实验本实验根据数模转换器芯片AD

19、9201,AD9761的工作时序,首先对输入的模拟信号用进行采样,然后将采样值直接通过DA发送出去。AD9201简介AD9201最高采样率为20MSPS,分辨率为10bit,是双通道CMOS电平的模数转换器。在需要2路ADC匹配(例如通信中的I、Q两路信号)的应用中,AD9201性能优越。20MHz的采样率、输入带宽大,使AD9201能应用在扩频与窄带信道。AD9201时序图图5 AD9201时序图注:SELECT为AD9201内部的异步二选一管脚。在CLOCK的上升沿,对输入的两路信号进行同步采样,然后经过SELECT选择输出数据的通路。AD9761简介AD9761是采样率20M、10 bi

20、t高速双通道数模转换器。宽带通信中经常需要处理同相和正交(I、Q)两路数据,AD9761的双通道可提供两路信号输出。AD9761时序图图6 AD9761时序图注:SELECT异步选择输入,当为高时将DA的数据锁存到I路,当为低时锁存到Q路。FPGA控制AD、DA程序示例由于外部晶振频率为48MHz,而AD采样率最高20MHz,DA速率为20MHz,因此程序中首先利用DCM对晶振输出的频率进行3分频,即16MHz,DCM输出的频率作为AD9201以及AD9761的时钟。关键程序代码段如下:AD控制部分代码signal iq_sel_int : std_logic;signal temp_q :

21、std_logic_vector(9 downto 0);beginadc_cs = 0; adc_clk = iq_sel_int;adc_select = not iq_sel_int;process(rst,ext_adclk)begin if rst = 0 then temp_q 0); adc_i 0); adc_q 0); iq_sel_int = 0; elsif ext_adclkevent and ext_adclk = 1 then iq_sel_int = not iq_sel_int; if iq_sel_int = 0 then temp_q = adc_din;

22、else adc_i = adc_din; adc_q = temp_q; end if;end if;end process;DA控制代码signal da_select_int:std_logic;beginda_rst=not rst;da_clk=not ext_daclk;da_write=not ext_daclk;da_select=da_select_int;process(ext_daclk)variable cnt:integer range 0 to 1;beginif rising_edge(ext_daclk)thenif rst=0 thenda_select_in

23、t=0;elseda_select_int=not da_select_int; if da_select_int=0thenda_out=id;elseda_outRebuild All,编译完成后选择File-LoadProgram找到ARM_DSP_hpi.out选择打开,通过JTAG下载DSP程序;E. 运行DSP程序Debug-Run;F. 在界面数据输入框内输入0-20个数据,按Enter,此时在ARM端接收界面会显示经过DSP处理的数据;输入数据: 显示数据: 注:DSP端程序在输出BUFFER的数据格式必须为第一个INT为数据长度,并且数据长度不能大于40(否则数据在ARM端不

24、能全部显示)。(2) DSP与ARM的通信实验实现A. 打开主程序文件main.c;B. 修改原程序中 (*p MovedData+) = (*pData+);为(*pMovedData+) = (*pData+) +1;C. 在CCS中编译运行程序,重新在数据输入界面输入数据按ENTER;D. 在ARM端应用程序界面的右边数据显示栏观察结果,记录实验现象(左边数据加1):输入数据: 显示数据: (3) DSP与ARM的通信扩展实验通过修改SETHINT在程序中的位置来修改DSP端何时发送HPI中断给HOST端。内容:实现10000个循环延时后发送中断。修改代码如下: 修改完成后在CCS中编译

25、运行程序,在ARM应用程序界面中重新输入数据按ENTER。观察:ARM应用程序右边数据接收窗口,记录观察结果(数据更新速率应当降低):输入数据: 显示数据: 2. FPGA控制AD、DA实验(1)FPGA控制AD、DA过程演示实验A. 编译AD_DA_LOOP工程,编译完后将bit文件下载到FPGA中;B. 连接信号发生器到平台的RXI,对信号发生器进行设置产生500KHZ的正弦信号,然后发送;C. 在ARM端应用程序界面进入-扩展实验-FPGA扩展实验-FPGA控制AD/DA实验,按ENTER然后用示波器观测平台的TXI、TXQ发出的波形。(2)改变DA控制程序,使DA的I路输出单频正弦波。

26、 六、 分析和思考:简述DSP的McBSP工作原理。七、 实验器材(设备、元器件):计算机、软件无线电实验箱、信号发生器、示波器、DSP仿真器、FPGA仿真器、5V电源八、 所需主要元器件及耗材连接线、计算机串口连接线九、 学时数:4实验二 脉冲成型实验一、 实验名称:脉冲成型实验二、 实验目的:1. 理解脉冲成形工作原理,加深对奈奎斯特准则的理解。2. 研究几种常用脉冲成形(半正弦、滚降系数0.5和0.8的升余弦滚降)中的信号波形与频谱,了解基带信号的连续发送波形。3. 学会观察信号频谱,分析不同脉冲成形滤波后发送信号的频谱效率。4. 能够基于ISE编写并调试FPGA脉冲成型程序。三、 实验

27、原理1、脉冲成型的理论基础在现代无线通信中,由于基带信号的频谱范围都比较宽,为了有效利用信道,在信号传输之前,都要对信号进行频谱压缩,使其在消除码间干扰和达到最佳检测的前提下,大大提高频带的利用率。奈奎斯特是第一个解决既能克服符号间干扰又保持小的传输带宽问题的人。他发现只要把通信系统(包括发射机、信道和接收机)的整个响应设计成在接收端的每个抽样时刻只对当前的符号有响应,而对其他符号的响应全等于零,那么符号间干扰ISI的影响就能完全被抵消,即消除符号间干扰的奈奎斯特(Nyquist) 第l准则。如图1所示。h(t)图1 无码间串扰示意图在理论上,Nyquist第l准则成功地解决了成形滤波器的设计

28、问题,但是它只给出了一个抽象的理论准则,而对于如何具体设计成形滤波器并没有一个明确的答案。由于数字技术的发展,基带信号的频谱成形可通过数字方法进行。利用数字式处理来实现频谱波形成形滤波的情况越来越广泛。数字滤波具有精度高、可靠性高、灵活性强、便于大规模集成、可以得到很高的性能指标等优点,可实现有限冲激响应 (FlR)滤波器或无限冲激响应滤波(IIR)滤波器。FIR滤波器可做到严格的线性相位,设计方法既有从时域出发考虑的加窗法,从频域出发考虑的频率采样法、等波纹最佳一致逼近法,也有综合考虑频域和时域要求的最优化设计方法(线性规划法)。在实际应用中,升余弦滤波器是运用较广泛的成形滤波器,因为它有如

29、下的优点:1)满足Nyquist第1准则;2)可以消除理想低通滤波器设计上的困难,有一平滑的过渡带;3)通过引入滚降系数改变传输信号的成形波形,可以减小抽样定时脉冲误差所带来的影响,即降低码间干扰。升余弦滤波器的传递函数为:(2.1)其中,是滚降因子,取值范围0到1。当时,升余弦滚降滤波器对应于具有最小带宽的矩形滤波器。这种滤波器的冲激响应可由对其传递函数做傅里叶变换得到:(2.2)图2 升余弦滤波器的频域传递函数及时域冲激响应图2是升余弦滤波器的频域传递函数及时域冲激响应,其中(a)是频域传递函数,(b)是时域冲激响应。升余弦滚降传递函数可以通过在发射机端和接收机端使用同样的滤波器来实现,同

30、时在平坦衰落信道中为实现最佳性能提供了匹配滤波。为实现滤波器的响应,脉冲成形滤波器可以用在基带数据上,也可以使用在发射机的输出端。图3就是将输入随机序列+1,-1通过0.5升余弦滤波器后的脉冲成型波形。图3 滚降系数0.5的升余弦脉冲成型波形不使用奈奎斯特技术来实现脉冲成形是有可能的,其中一项就是MSK调制方式的应用,与OQPSK调制方式结合的效率较高的半正弦脉冲调制。通过截短的传递函数来抑制相邻符号间的干扰。由于使用更短的截短传递函数,半正弦脉冲成形后的信号频谱相对升余弦滚降成形的信号频谱来说,主瓣较宽,旁瓣较高,频谱效率较低。2、VHDL实现脉冲成型在程序中,我们首先产生升余弦滤波器系数,

31、再将输入数据滤波输出,即可得到我们期望的滚将系数(0.5或0.8)的升余弦信号成型波形。因此,这里重点就是如何生成各种滚降系数的升余弦滤波器系数。(1) 利用MATLAB生成升余弦滚降滤波器系数在MATLAB中,可以利用函数rcosine得到升余弦滤波器系数,格式如下:NUM, DEN = RCOSINE(Fd, Fs, TYPE_FLAG, R, DELAY)其中,Fd表示数字信号频率;Fs表示滤波器采样频率,且Fs/Fd 必须是一个正整数。TYPE_FLAG 表示设计的滤波器的类型,可以是iir、sqrt、或者它们的组合 iir/sqrt,也可以是常规类型 normal。 R 表示滚降系数

32、,取值范围是0, 1.。DELAY表示滤波器延迟,必须为一个正整数,DELAY/Fd 可以得到以秒为单位的滤波器延迟。 我们以产生0.8滚降系数的升余弦滤波器为例,Fd1;Fs8;TYPE_FLAG 取“fir”,即可得到49个滤波器系数:-0.0000 -0.0012 -0.0036 -0.0064 -0.0085 -0.0088 -0.0068 -0.0032 0.0000 -0.0000 -0.0058 -0.0185 -0.0361 -0.0530 -0.0600 -0.0460 0.0000 0.0852 0.2108 0.3696 0.5465 0.7202 0.8671 0.96

33、54 1.0000 0.9654 0.8671 0.7202 0.5465 0.3696 0.2108 0.0852 0.0000 -0.0460 -0.0600 -0.0530 -0.0361 -0.0185 -0.0058 -0.0000 0.0000 -0.0032 -0.0068 -0.0088 -0.0085 -0.0064 -0.0036 -0.0012 -0.0000(2) 将升余弦滤波器系数转换为VHDL数组取滤波器对应的单位冲激响应正负两个第二零点之间的33个样本(941,包括左右两个0),然后进行幅值放大(如这里调整为原始系数*100)并取为整数,最后再转换为二进制数,就得

34、到一个包含33个10bit的向量的数组,由此来近似FIR形式的升余弦滚降滤波器。constant rcosine_eighty : ps_array(32 downto 0) := (0000000000, 1111111111, 1111111111, 1111111110, 1111111100, 1111111010, 1111111001, 1111111011, 0000000000, 0000001000, 0000010101, 0000100100, 0000110110, 0001001000, 0001010110, 0001100000, 0001100100, 0001

35、100000, 0001010110,0001001000,0000110110,0000100100,0000010101,0000001000,0000000000,1111111011,1111111001,1111111010,1111111100,1111111110,1111111111,1111111111,0000000000);注:这里的二进制数为补码表示。(3) 将信号滤波输出,即得到脉冲成型信号脉冲成形的过程,其实就是输入数据din和升余弦滚将滤波器的时域响应进行卷积的过程。在源代码中,合法的输入din(长度为2bit)一共有三种:00、01、11,分别对应的是0、-1和

36、+1。对于每一次的输入din,在经过和滤波器系数filter_coef(长度为10bit)卷积后,都会得到一个对应的输出filter_dout(长度为10bit)。利用卷积等效于错位相乘再累加的原理,我们对于每一次的输入,都调用函数signed_mul来得到din和滤波器系数(ps_array)分别相乘的结果,分别存储在33个变量r0,r1,r2,r3,r4,r5,r6,r7,r8,r9,ra,rb,rc,rd,re,rf,r10,r11,r12,r13,r14,r15,r16,r17,r18,r19,r1a,r1b,r1c,r1d,r1e,r1f,r20中,为接下来将要进行的累加操作做好准备

37、。设置33个中间变量:h0, h1,h2,h3,h4,h5,h6,h7,h8,h9,ha,hb,hc,hd, he,hf,h10,h11, h12,h13,h14,h15, h16,h17,h18,h19,h1a,h1b,h1c,h1d,h1e,h1f,h20,进行赋值相叠累加:h0 = r0; h1 = h0 + r1; h2 = h1 + r2; h3 = h2 + r3; h1f = h1e+ r1f; h20 = h1f+ r20;累加结果存储在h20中,并将其输出到filter_dout,就得到din对应通过滤波器后的结果。四、实验内容(1)脉冲成型基础验证实验;观察不同滚降系数的脉

38、冲成型信号的时域波形及频谱;(2)基于FPGA完成滚降系数的升余弦滤波器对BPSK信号进行基带调制。五、实验步骤1.脉冲成型基础实验通过实验平台的菜单窗口提示,利用键盘选择菜单内容,逐级进入该实验操作界面,根据操作步骤的提示,利用示波器在指定接口进行输出信号波形观察。具体步骤如下:检查实验平台左上方和右下方的Power Switch是否处于关闭(OFF)状态;检查实验平台的电源线是否连接正确,若连接正确,实验平台右下方的Power Ready指示灯会亮起;将实验平台左上方的Power Switch置为开启(ON)状态,实验系统进入启动状态,观察实验平台中部的显示屏直至进入“高级软件无线电教学系

39、统”;按下“确认(回车)”键进入系统实验列表;选择“1”按Enter键,屏幕显示“进入实验中,请稍候”提示框,直至进入基础实验列表;选择“1”进入脉冲成形实验列表;在选择具体实验的项目之后按“确认(回车)”,进入脉冲成形仿真实验窗口;(1)半正弦脉冲成形选择“1”进入半正弦脉冲成形仿真实验窗,按照软件无线电实验平台界面的提示进行后续操作,将示波器分别观测实验平台TXI和TXQ端口,通过示波器观察输出波形和频谱。根据示波器上产生波形的频谱图,观察并记录该发送波形的频谱特征:主瓣过零点带宽(dB)主瓣与第一旁瓣增益差(dB)第一旁瓣带宽(dB)(2)滚降系数的升余弦滚降选择“2”进入滚降系数的升余

40、弦滚降脉冲成形仿真实验窗,按照软件无线电实验平台界面的提示进行后续操作,将示波器分别观测实验平台TXI和TXQ端口,通过示波器观察输出波形和频谱。根据示波器上产生波形的频谱图,观察并记录该发送波形的频谱特征:主瓣过零点带宽(dB)主瓣与第一旁瓣增益差(dB)第一旁瓣带宽(dB)(3)滚降系数的升余弦滚降选择“3”进入滚降系数的升余弦滚降脉冲成形仿真实验窗,按照软件无线电实验平台界面的提示进行后续操作,将示波器分别观测实验平台TXI和TXQ端口,通过示波器观察输出波形和频谱。根据示波器上产生波形的频谱图,观察并记录该发送波形的频谱特征:主瓣过零点带宽(dB)主瓣与第一旁瓣增益差(dB)第一旁瓣带宽(dB)2脉冲成型扩展实验扩展实验主要完成滚降系数的升余弦滤波器对BPSK信号进行基带调制。A、从系统平台界面程序进入扩展实验FPGA扩展实验基带信号调制实验;B、在ISE中打开FPGA_modulate工程,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号