本科毕业设计基于DDS芯片的数字调制系统的设计.doc

上传人:仙人指路1688 文档编号:4149394 上传时间:2023-04-07 格式:DOC 页数:30 大小:299.50KB
返回 下载 相关 举报
本科毕业设计基于DDS芯片的数字调制系统的设计.doc_第1页
第1页 / 共30页
本科毕业设计基于DDS芯片的数字调制系统的设计.doc_第2页
第2页 / 共30页
本科毕业设计基于DDS芯片的数字调制系统的设计.doc_第3页
第3页 / 共30页
本科毕业设计基于DDS芯片的数字调制系统的设计.doc_第4页
第4页 / 共30页
本科毕业设计基于DDS芯片的数字调制系统的设计.doc_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《本科毕业设计基于DDS芯片的数字调制系统的设计.doc》由会员分享,可在线阅读,更多相关《本科毕业设计基于DDS芯片的数字调制系统的设计.doc(30页珍藏版)》请在三一办公上搜索。

1、基于DDS芯片的数字调制系统的设计Digital Modulate System In DDS Chip吉林农业大学信息技术学院电子信息科学与技术系前言传统的数字信号的调制方法是得用振荡器和锁相环产生一个模拟的正弦波,然后去调制数字信号。但是要产生一个频率稳定度高、具有精确幅度度值的正弦波不是一件很容易的事,而且模拟电路具有电路复杂、功耗大、设备体积大、成本高等缺点。因此各国都在竞相研究新的调制技术。基于直接数字频率合成DDS技术基础上的直接数字调制(Direct Digital Modulation)技术不仅能实现载波高稳定度、功耗低、谐波失真小、转换速度快的要求,还具有体积小、价格便宜等优

2、点。直接数字调制器是利用DDS技术,将载波频率合成、数字调制、DAC集成在一个芯片内,同时,直接数字频率合成(DDS)技术在合成信号时,具有超宽的相对带宽、超高的捷变速度、超细的分辨率、连续的相位特性、可以输出宽带的正交信号等诸多优越性能。DDS全数字结构的相位控制功能,使它具备多种数字调制能力,如相位调制、频率调制、幅度调制以及I/Q正交调制等。因此,该技术在现代数字通信领域中将有广阔的应用前景。在现代雷达、通信、电子对抗等系统中频率源有着广泛的应用,是众多应用电子系统实现高性能的关键因素之一,很多现代电子设备和系统的功能都直接依赖于所使用的频率源的性能。随着应用频率和精度要求的不断提高,传

3、统的晶体振荡器直接输出频率已不能满足要求。因此,大量的频率合成(FS,Frequency Synthesis)技术得以广泛的使用。频率合成通过对一个或多个高稳定度和精确度的参考频率进行加、减、乘、除运算得到所需的频率。频率合成(FS)的方法很多,按其工作模式可以分为:模拟合成和数字合成两种;按其实现的手段可以大致分为:直接合成和锁相环合成两种。目前应用较多的频率合成方式主要有:直接模拟合成,锁相环合成(PLL,phase Locked Loop)和直接数字合成(DDS,Digital Direct Synthesis)。而直接数字频率合成(DDS)则是近年来随着数字集成电路和微电子技术的快速发

4、展而迅速兴起的一种新的频率合成技术。它将先进的数字信号处理(DSP,Digital Signal Processing)理论和方法引入到频率合成领域中,从而有效解决许多模拟合成技术无法解决的问题。同时,直接数字频率合成的兴起也标志着第三代频率技术的形成。目录1、摘要22、关键字23、系统研究33.1、方案论证33.2、设计思路33.3、DDS模块43.1DDS调制原理63.2DDS 工作过程73.3DDS芯片AD98507 3.3.1AD9850基本结构7 3.3.2 AD9850工作原理8 3.3.3AD9850的控制字和控制时序9 3.3.4 AD9850基本工作电路104、单片机控制模块

5、10 4.1单片机工作原理11 4.2单片机与AD9850接口125、键盘显示模块14 5.1显示器14 5.2键盘14 5.3键盘显示芯片8155介绍156、低通滤波模块167、调制模块16 7.1 调制原理167.2 FSK产生方法177.3 FSK接收方法188 、结束语189、致谢18附录19参考文献19流程图20程序22电路图26 1第一章、摘要稳定和精确的频率源是电子设备和系统实现高性能的关键因素之一,而频率合成通过加、减、乘、除运算得到所需的频率。DDS,是Direct Digital Systhesis的缩写,是一种全新的频率合成技术。 本设计主要介绍了DDS技术在数字调制系统

6、中的调制原理,以及DDS芯片AD9850的基本工作原理,性能特点及引脚功能,提出在数字调制系统中应用DDS技术。本系统主要采用AD9850和单片机89C51,并与低通滤波器、键盘显示电路相结合,通过单片机对AD9850的控制,实现基本数字调制。此系统所采用的调制方法是FSK即频移键控。FSK在通信领域中有着广泛的应用,是最基本的数字调制方法。Traditional numerical signals making a method is the sine wave that has to use to flap to concuss the machine and lock mutually

7、wreath to produce an emulation, then make numerical signal. |But want to produce a frequency stability to be high,have the accurate range degree the sine wave of the value to isnt a very easy matter, and imitate electric circuit to have the electric circuit complications, to consume greatly,the equi

8、pments physical volume is big,cost high etc. weaknessSo all countries all Be studying competitively to make a technique lately. Synthesize the DDS technique foundation according to the directly numerical frequency of directly numerical make(the Direct Digital Modulation) a technique not only can car

9、ry out to carry a high stability,功 to consume low,the wave lose really small,convert a quick request of speed, also have a physical volume small,price cheap etc. advantage。Directly numerical make a machine is make use of the DDS technique, will carry a frequency to synthesize,the numeral make,the DA

10、C integration is in a chip, in the meantime, the directly digital frequency synthesize(DDS) a technique while synthesize signalThe opposite bandwidth,extremely high that has super breadth changes speed,super and thin resolution and continue mutually characteristic and can output breadth to take of i

11、s hand over signal etc. many superior functions The DDS total amount word is structural mutually control function, make it have various numerals to make an ability, such as mutually make,the frequency make,the range make and the I/Q is hand over to make etc. Therefore, that technique corresponds by

12、letter the applied foreground that the realm lieutenant general has am3 第二章、关键词频率合成,DDS,FSK,数字调制,低通滤波,键盘显示2第三章、系统研究1、方案论证直接数字频率合成(DDS)与传统频率合成技术的比较在频率合成(FS)技术发展的历史中,直接模拟合成技术是早期使用的一种较为广泛的技术。直接模拟合成利用倍频(乘法)、分频(除法)、混频(加减法)和滤波技术,从一个或多个高稳定度和精确度的参考频率源产生所需的频率。该方法的优点是频率转换时间短(小于100ns),载频相位噪声好等。但缺点是实现设备体积大、功耗大且

13、易产生过多的杂散分量,频谱纯度不高,合成的正弦波的幅度、相位等参数难以控制。因此,直接模拟合成已逐渐不再使用。 目前使用最为广泛的频率合成技术就是锁相环(PLL)合成技术。该技术利用锁相环完成对参考频率源的加、减、乘、除运算,从而得到预期的频率。锁相技术具有良好的窄带跟踪特性,可以根据需要选择频率信号。相对于直接模拟频率合成而言,锁相环具有频谱纯度高,能有效抑制杂散分量且结构简单、易于集成等特点。但是,锁相环存在高分辨率和快速转换速度之间的矛盾,故而一般用于大步进频率合成技术中。相对于以上两种传统的合成技术而言,直接数字频率(DDS)由于采用了数字处理技术,因而能够避免许多传统技术的不足。相对

14、于直接模拟合成和锁相环而言,直接数字频率(DDS)主要就有以下特点: 就有较高的输出分辨率: 当参考时钟频率和相位累加器的位宽满足一定的要求时,输出分辨率可以非常小。当频率控制字位48bit时,输出分辨率可以达到1uHz。而传统的频率合成技术通常只能够达到1KHz。 频率转换时间小: 直接数字频率合成是一个开环系统,无任何反馈环节,故DDS的频率转换时间主要是DDS的数字处理延时,通常仅为ns量级。而一个模拟锁相环的频率转换时间则主要是它的反馈环处理时间和压控振荡器的响应时间,通常大于2030us。因而DDS可以有效克服PLL的高分辨率和快速转换速度之间的矛盾。 较大的输出相对带宽: 由于DD

15、S不受稳定性的影响,因此,在满足奈奎斯特抽样定理的前提下,输出一般可以达到时钟频率的40。 输 出 相 位 连 续: 在改变频率时只需改变频率控制字(即累加器累加步长),而不需改变原有的累加值,故改变频率时相位是连续的。正因为直接数字频率合成技术有许多无法替代的优点,其使用也越来越受到工程人员的重视。当然DDS也有其固有的缺点,如上文所述的杂散分布广,同时由于受当前集成电路工艺以及材料的限制,DDS目前的最高工作频率被限制在1GHz左右等等。2、设计思路 本系统的主要模块包括键盘显示模块、单片机控制模块、低通滤波模块及DDS信号输入输出模块,其中最主要的是单片机对DDS模块的控制。DDS芯片作

16、为一个频率合成器,通过对较高的参考时钟进行采样,从而得到设计者想要的频率,实现这一功能需要有单片机的控制。DDS芯片发送控制字到单片机,单片机通过发送指令来改变DDS芯片的输出频率。而通过键盘显示模块输入不同的频率控制字,就可以改变输出信号的频率。系统结构原理图如下:3图13、DDS模块31DDS调制原理作为一种频率合成器,它其实是应用了取样原理,即以较高的参考频率作为取样时钟,在时钟的每个周期内,输出设计者希望得到的频率波形取样值。SIN输出的输出取样值是SIN波形的取样值,输出取样值的大小由相位累加器输出的相位决定,而输出波形的频率由设计者送入的频率控制字(PCW)决定 DDS可以理解为数

17、字信号处理理论的一种延伸,它以Nyquist采样定律为准则,利用全数字的方法以恒定的速率产生对应于不同频率的正弦信号的线性相位取样序列,并以之为基础完成相位到幅度的转换,从而得到所需的特定频率的正弦信号。根据该定理,对于一个周期正弦波连续信号,可以沿其相位轴方向,以等量的相位间隔对其进行相位/幅度抽样,得到一个周期性的正弦信号的离散相位的幅度序列,并且对模拟幅度进行量化,量化后的幅值采用相应的二进制数据编码。这样就把一个周期的正弦波连续信号转换成为一系列离散的二进制数字量,然后通过一定的手段固化在只读存储器ROM中,每个存储单元的地址即是相位取样地址,存储单元的内容是已经量化了正弦波幅值。这样

18、的一个只读存储器就构成了一个与2周期内相位取样相对应的正弦函数表,因它存储的是一个周期的正弦波波形幅值,因此又称其为正弦波形存储器。对于一个连续的正弦波信号,其角频率可以用相位斜率/r表示。当角频率为一定值时,其相位斜率/t也是一个确定值。此时,正弦波形信号的相位与时间成线性关系,即=t。根据这一基本关系,在一定频率的时钟信号作用下,通过一个线性的计数时序发生器所产生的取样地址对已得到的正弦波波形存储器进行扫描,进而周期性地读取波形存储器中的数据,其输出通过数模转换器及低通滤波器就可以合成一个完整的、具有一定频率的正弦波信号。在时钟脉冲的控制下,频率控制字K由累加器得到相应的相码,相码寻址波形

19、存储器进行相码-幅码变换输出不同的幅度编码,再经过数模变换器得到相应的阶梯波,最后经低通波器对阶梯波进行平滑,即得到由频率控制字K决定的连续变化的输出波形。其中,参考频率源一般是 一个高稳定的晶体振荡器,其输出信号用于DDS中各部件同步工作。因此,DDS输出的合成信号的频率稳定度与晶体振荡器是一样的。相位累加器是实现DDS的核心,如图2所示。它由一个N位字长的二进制加法器和一个由固定时钟脉冲取样的N位相位寄存器组成。相位寄存器的输出与加法器的一个输入端在内部相连,加法器的另一个输入端是外部输入的频率控制字K。这样,在每个时钟脉冲到达时,相位寄存器采样字K。这样,在每个时钟脉冲到达时,相位寄存器

20、采样上个时钟周期内相位寄存器的值与频率控制字K之和,并作为相位累加器在这一时钟周期的输出。4 DDS的数字化结构决定了DDS在合成信号时,信号的频率F、相位和幅度A都可以进行数字化设置。若根据某一个时变信号的参数对DDS的频率控制码、相位控制码和幅度控制码进行实时地动态设置和更新,便可以完成基本的AM、FM、PM调制功能。如果采取一些技术手段,还可以实现其它的调制功能。直接数字频率合成(DDS)是采用数字化技术,通过控制频率控制字直接产生所需的各种不同频率信号。DDS主要由参考频率源、相位器等组成,的结构原理图如图3所示。累加器、正弦表、转换器和滤波N比特频率寄存器N比特相位寄存器查询表ROM

21、DACLPFfHfCfO频率控制码KFMM比特PMS比特AMD比特图3 DDS结构原理图在图3中参考时钟c由一个高稳定的晶体振荡器产生,用它来同步整个合成器的各个组成部分。位加法器与位相位寄存器级联构成相位累加器,类似于一个简单的计数器。每来一个时钟脉冲,加法器就将频率控制字与相位寄存器中的数据相加。相位寄存器可以将加法器在上一个时钟作用后产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟的作用下继续将相位数据与频率控制字相加。这样,相位累加器在参考时钟的作用下进行线性相位累加。当相位累加器达到上限时,就会产生一次溢出,完成一个周期性的动作,这个周期就是合成信号的一个周期。对于一个周

22、期性的模拟或数字信号,不管其幅度怎样畸变,在每个时间周期内,角频率总是随着信号频率而线性变化,即=2f.在一个给定的时间间隔 如时钟周期 内,相位变化由下式决定:phase=.dt=2f.dt,(1)为了得到频率f,将时间间隔dt用系统的参考时钟频率fclock来代替,即得到下式:f=phase.fclock/2,(2)用DDS技术实现的频率合成正是基于式 2 这个简单的方程式.如图3是一个通用DDS芯片的原理图.DDS用数字振荡器 NCO 、正 余 弦ROM查表 LUT 和D/A转换三个主要部件来完成式 2 的功能。5数字振荡器 NCO的核心是一个32bit的相位累加器.相位累加器相当于标尺

23、的作用,它把02的连续相位转换成32bit的数字相位,在时钟脉冲的控制下,输入到频率寄存器的控制字在相位累加器中变成输出信号的数字相位信息.用232代换 2 式中2可得如下方程:f=phase.fclock/232,0phase232,(3) 其中phase为输入到频率寄存器的控制字,fclock为系统时钟频率,f为输出频率.通过改变phase可得到不同频率的正弦波.其频率分辨率f为:f=fclock/232,(4)例如,假设fclock为50MHz,频率寄存器的控制字phase为28F5C28Fhex,代入 3 和 4 式,可求得:f=28F5C28F50MHz2328MHzf=50MHz2

24、320.01Hz1.正 余 弦查表 LUT LUT的作用是把相位信息转变成幅度值,这是通过查表ROM来实现的.它把相位累加器的数字相位信息作为正 余 弦ROM表中的地址.数/模转换 D/A D/A把正弦ROM表中输出的正弦信号的数字幅值转换成模拟幅值,然后经过低通滤波器,至此便可得到一个具有很高精度的正 余 弦波形.可以把上述过程看成是脉冲编码调制的反向工作过程,根据奈奎斯特采样定理,输出信号的最高频率为系统时钟频率 采样频率 的一半,即f0fclock/2,考虑到同步和延时等因素,实际输出频率最高为16.5MHz 取fclock为50MHz .累加器的溢出频率也就是的合成信号频率。 3.2

25、DDS的工作过程在参考时钟c的控制下,频率控制字送入相位累加器。用相位累加器的输出作为正弦查找表的查找地址对正弦表进行查找。ROM表中的每个地址代表一个周期的正弦波的一个相位点,每个相位点对应一个量化振幅值。因此,这个查找表相当于一个相位振幅变换器,它将相位累加器的相位信息映射成数字振幅信息。查找后的数据再经过转换器得到相应的阶梯波;最后经低通滤波器对阶梯波进行平滑处理,即可得到由频率控制字决定连续变化的输出正弦波。在频率更新时钟fH上升沿时,频率控制字K锁存于N位的频率调节字寄存器内。在系统时钟fC的每一个时钟脉冲到来时,控制字K与相位累加器内容进行模2N加,得到N位正弦波相位值。再将N位的

26、相位码截去低B位,用高M位(M=NB)作为地址对ROM寻址,输出S位的正弦波的幅度值,形成数字化的正弦波。数字化正弦波通过DAC后,输出模拟的阶梯化的正弦波。阶梯波经过低通滤波器平滑后,生成模拟正弦波。从上面的工作过程看出,经过采样和量化的正弦波幅度值,在频率控制字K和系统时钟fC的的共同控制下,复原输出频率fo的正弦波,它们之间满足下列关系:输出频率最小频率分辨率:6因为DDS具有优良的调制精度,所以将DDS技术应用到数字调制系统中是一种很好的方法。模拟语音信号经过放大器(带宽4.25MHz,噪声系数3.9nv/ )时,放大器耦合的噪声为:放大后的信号经ADC(14位转换位数、差分输入、输入

27、信号电平范围05V)采样量化时,带来的量化误差为:当进行数字AM调制时,DDS的幅度控制字为14位,在调制中不会再降低数据信号的精度;当进行数字FM时,DDS的频率控制字为48位,在调制中也不会再降低数据信号的精度;进行PSK/FSK、MSK及QPSK调制时,由于是用串行数据直接进行调制,更不会带来信噪比的恶化。所以,这种调制电路平台具有很高的调制精度。同时,DDS具有宽阔的载波频段和调制带宽,DDS的时钟频率设置为300MHz时,其输出信号的最高频率可达120MHz,电路在进行调制时,载波频率的选择可从直流到120MHz。电路很重要的一个特点是能进行高速数据调制并设置很宽的调制带宽。在调制平

28、台上实现MSK调制来进行验证:设置载频为50MHz,数据速率3.125MHz,调制带宽6.25MHz,调制数据为DSP产生的14位M序列,其生成多项式如图 。33 DDS芯片AD98503.3.1 DDS芯片AD9850的基本结构AD9850是一种高速集中的器件,采用先进的DDS技术,结合了高速高性能的D/A转换器和高速比较器,其组成是一种全数字可编程频率合成器和时钟发生器结构:当提供一个精确的时钟源时,AD9850会产生一个光谱纯净的可编程的频率/相位模拟正弦波。这种正弦波可以直接作为一种频率源或者是转换为方波,作为灵敏时钟发生器来直接使用。7主要性能单电源工作(+2.7V- +5.25V)

29、工作温度-45。C- +185。C低功耗,在180MHz系统时钟下,功率为555mv;电源设置有休眠状态,功率为4mv。8位并行口或串行口直接输入,内含6倍参考时钟倍乘器,输出工作频率为0-7MHz;频率分辩率高,可接收32位调频字,在180MHz系统时钟下,输出频率的精度可达到0.04Hz,相位可调,可接收来自单片机的5位相位控制字。各引脚功能D0-D7:8位数据输入口呵给内部寄存器装入40位控制数据;PGND:6倍参考时钟倍乘器地。PVCC:6倍参考时钟倍乘器电源。W_CLK:字装入信号,上升沿有效。FQ_UD:频率更新控制信号,时钟表上升沿确认输入数据有效。AGND:模拟地。DGND:数

30、字地。DVDD:数字电源。(+5V)。RSET :外部参考时钟输入。CMOS/TTL脉冲系列可直接或间接地加到6倍参考时钟倍乘器上。直接方式中,输入频率即是系统时钟;在6倍参考时钟倍乘器方式下,系统时钟为倍乘器输出QOUTB:内部比较器正向输出端。QOUT:内部比较器负向输出端。VINP:内部比较器正向输入端。VINN:内部比较器负向输入端。DACBL:DAC旁路连接端。IOUTB:“互补”DAC输出。IOUT:内部DAC输出。RESET:复位端。低电平清除DDS累加器和相位延迟器为0Hz和0。相位,同时置数据输入为串行模式及禁止6倍参考时钟倍乘器工作。3.3.2 AD9850的基本原理AD9

31、850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成。可编程DDS系统的核心是相位累加器,它由一个加法器和一个N位相位寄存器组成,N一般为2432。每来一个外部参考时钟,相位寄存器便以步长M递加。相位寄存器的输出与相位控制字相加后可输入到正弦查询表地址上。正弦查询表包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中0360范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模式量。相位寄存器每过2N/M个外部参考时钟后返回到初始状态一次,相位地正弦查询表每一个循环也回到初始位置,从而使整个DDS系统输出一个正弦波。输出的正弦波周期To=

32、Tc2N/M,频率fout=Mfc/2N,Tc、fc分别为外部参考时钟的周期和频率。CMOS/TTL脉冲系列可直接或间接地加到倍参考时钟倍乘器上。直接方式中,输出频率即是系统时钟;8在倍参考时钟倍乘器方式,系统时钟为倍乘器输出。AD9850采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表的输出再被截断成10位后输入到DAC,DAC再输出两个互补的电流。DAC满量程输出电流通过一个外接电阻RSET调节,调节关系为ISET=32(1.148V/RSET),RSET的典型值是3.9k。将DAC的输出经低通滤波后接到AD9850内部的高速比较器上即可直接输出一个抖动很小的方波。AD98

33、50在接上精密时钟源和写入频率相位控制字之间后就可产生一个频率和相位都可编程控制的模拟正弦波输出,此正弦波可直接用作频率信号源或经内部的高速比较器转换为方波输出。在125MHz的时钟下,32位的频率控制字可使AD9850的输出频率分辨率达0.0291Hz;并具有5位相位控制位,而且允许相位按增量180、90、45、22.5、11.25或这些值的组合进行调整。AD9850的输出频率、参考时钟和调制字的关系:fout=(PhaseCLKin/232),Phase(相位增量)=32位调制字的值,CLKin=输入参考时钟频率/MHz;fout=输出信号的频率3.3.3AD9850的控制字与控制时序AD

34、9850有40位控制字,32位用于频率控制,5位用于相位控制。1位用于电源休眠(Power down)控制,2位用于选择工作方式。这40位控制字可通过并行方式或串行方式输入到AD9850,在并行装入方式中,通过8位总线A0D7将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器(更新DDS输出频率和相位),同时把地址指针复位到第一个输入寄存器。接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后,W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。在串行输入方式

35、,W-CLK上升沿把25引脚的一位数据串行移入,当移动40位后,用一个FQ-UD脉冲即可更新输出频率和相位。AD9850内部有5个输入寄存器,储存来自外部数据的32位频率控制字、5位相位控制字、1位6倍参考时钟倍乘器使能控制、1位电源休眠(Power down)功能控制A、1位逻辑0。9AD9850的复位(RESET)信号为高电平有效,且脉冲宽度不小于5个参考时钟周。AD9850的参考时钟频率一般远高于单片机的时钟频率,因此AD9850的复位(RESET)端可与单片机的复位端直接相连。低电平清除DDS累加器和相位延迟器为0Hz和0。相位,同时置数据输入为串行模式及禁止倍参考时钟倍乘器工作。3.

36、3.4AD9850的基本工作电路AD9850是不可编程芯片,它需要用单片机来控制,但是它也有自己独特的基本工作电路DAC正输出端IOUT经R3接地,产生电压信号,电压信号输出到LPF,LPF右接一个负载,所以总负载的值与R1相同。输出的正弦波信号接到比较器的VINP端,DAC两个输出间用两个100K电阻分压,分压输出用470F的电容去耦,用作内部比较器的参考电压。FDDS=MfREF/232=M0.291MHz,其中M为AD9850的控制字。AD9850首先输出频谱纯净的正弦信号,输出的信号经外部的有源低通滤波器后,由Vin.p进入AD9850内部高速比较器,由Vout.p输出成为方波。4、单

37、片机控制模块本设计主要采用Intel公司生产的MSC-51系列中80C51型单片机。其各引脚及名称如图所示10 DDS需要外界提供相应的数据和控制信号。而单片机是一项比较成熟的技术,用它作控制电路,频率改变简捷迅速。41单片机工作原理单片机由微处理器(含运算器和控制器)、存储器、I/O接口以及特殊功能寄存器SFR等构成。其中核心部份的微处理器是一个8位的高性能中央处理器(CPU)。它的作用是读入并分析每条指令,根据各指令的功能控制单片机的各功能部件执行指定的运算或操作。它主要由两部份组成:运算器和和控制器。运算器由算术/逻辑运算单元ALU、累加器ACC、寄存器B、暂存寄存器、程序状态字寄存器P

38、SW组成。控制器同一般微处理器的控制器一样,也由指令寄存器IR、指令译码器ID、定时及控制逻辑电路和程序计数器PC等组成。单片机有4个8位的并行口,均为双向口,既可作为输入,又可作为输出。每个口各有8个I/O线。此外,单片机还有一个全双工的串行口。它的内部集成有2个16位的定时/计数器(增强型单片机有3个),还具有一套完善的中断系统。单片机的工作过程是:取一条指令、译码、进行微操作,再取一条指令、译码、进行微操作,这样自动地、一步一步地由微操作依次序完成相应指令规定的功能。单片机工作时,需要有时钟信号来为单片机芯片内部的各种微操作提供时间基准。80C51单片机的时钟信号通常有两种产生方式:一是

39、内部时钟方式,二是外部时钟方式。内部时钟方式如图(1),在80C51单片机内部有一振荡电路,只要在单片机的XTAL1和XTAL2引脚外接石英晶体(简称晶振),就构成了自激振荡器并在单片机内部产生时钟脉冲信号。图中C1和C2的作用是稳定频率和快速起振,电容值在5-30PF。晶振CYS的振荡频率范围为1.2-11MHz,典型值为12 MHz和6MHz。 图(1) 外部时钟方式是把外部已有的时钟信号引入到单片机内,如图(2)所示。此方式常用于多片80C51单片机同时工作,以便于各单片机同步。一般要求外部信号高电平的持续时间大于20ns,且为频率低于12MHz的方波。对于采用CHMOS工艺的单片机,外

40、部时钟要由XTAL111端引入,而XTAL2端引脚应悬空。图(2)但单片机是数字电路,它在电路中会干扰其它部份的工作,因此需要注意单片机与其它部分的隔离。单片机可以用89C51,也可以用98C51。下图为用80C51作控制电路的简化框图。其中P0作为数据端口,输送频率控制字。P1作为I/O控制口输出控制信号。/WR作为控制信号,接到DDS的写信号,所以在程序中虚拟了一个端口地址。此外单片机与DDS共用一个复位电路,因为每次使用AD9850时需复位一次,所以复位电路最好设计为手动复位。缓冲器起到隔离作用,减少辐射干扰。42AD9850与单片机80C51的接口AD9850有两种与微机并行打印口相连

41、的评估版,并配有Windows下运行的软件,可以作为应用参考,但运用单片机实现对DDS的控制与微机实现的控制相比,具有编程控制简便、接口简单、成本低,容易实现系统小型化等优点,因此普遍采用MCS51单片机作为控制核心来向AD9850发送控制字。单片机与AD9850的接口既可用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式,本文重点介绍其并行方式的接口。 I/O方式并行接口I/O方式的并行接口电路比较简单,但占用单片机资源相对较多,AD9850的数据线D0D7与P1口相连,FQ-UD和W-CLK分别与P3.0(10引脚)和P3.1(11引脚)相连,所有的时序关系均可通过软件控制实现。12将DDS控制字从高至低存放于30H至34H中,发送控制字的程序清单如下:MOV R0,#05HMOV R1,#30HDD:MOV P1,R1SETB P3.1CLR P3.1INC R1DJNZ R0,DDSETB P3.0CLR P3.0END在程序中,每将一字节的数据送到P1口后,必须将P3.1(W-CLK)置高。在其上升沿,AD9850接收到P1口相连的数据线上的数据,然后将P3.1置低,并准备下一字节的发送,连续发送5个字节后,须将P3.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号