课程设计(论文)基于VHDL的数字密码锁设计.doc

上传人:仙人指路1688 文档编号:4150665 上传时间:2023-04-07 格式:DOC 页数:16 大小:4.08MB
返回 下载 相关 举报
课程设计(论文)基于VHDL的数字密码锁设计.doc_第1页
第1页 / 共16页
课程设计(论文)基于VHDL的数字密码锁设计.doc_第2页
第2页 / 共16页
课程设计(论文)基于VHDL的数字密码锁设计.doc_第3页
第3页 / 共16页
课程设计(论文)基于VHDL的数字密码锁设计.doc_第4页
第4页 / 共16页
课程设计(论文)基于VHDL的数字密码锁设计.doc_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《课程设计(论文)基于VHDL的数字密码锁设计.doc》由会员分享,可在线阅读,更多相关《课程设计(论文)基于VHDL的数字密码锁设计.doc(16页珍藏版)》请在三一办公上搜索。

1、数字设计数字钟的电子设计 班 级: 04通信 学 号: 20040734046 姓 名: 目 录1、设计要求2、总体方案设计21总体方框图22总体电路实现3、分模块设计实现相关功能31译码器311译码器decoder00的VHDL语言312译码器的仿真波形313仿真分析32移位寄存器321移位寄存器REGISTER00的VHDL源程序322移位寄存器的仿真波形323仿真分析33存储器331存储器memoryLONG的VHDL源程序332 存储器的仿真波形:333 仿真分析34比较器341比较器COMPARE16的VHDL源程序:342比较器的仿真波形:343仿真分析:35控制器351控制器CO

2、NTROLLONG的VHDL源程序:352 控制器的仿真波形:353 仿真分析:4、实验中遇到的问题和解决办法和设计的体会41 实验中遇到的问题和解决办法42 设计的体会附:参考文献及电路图一、 设计目的:1. 通过设计,掌握电子设计的一般思路,学习电子设计的一般方法.2. 通过设计,学习掌握MAX-PLUS2的使用方法.二、 设计选题通过小组成员唐俊,张君峰,李超讨论,决定做数字钟的设计.三、 设计要求设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。

3、四、 分析要求和总体设计1. 根据老师所给设计要求规纳有以下需求的功能:1.计时功能:这是本数字钟设计的基本功能,每隔一秒钟计时一次,并在显示屏上显示当前时间.2.闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出报警声.3.校时功能:设置新的时间作为当前时间.4.设置闹钟:设置闹钟时间.5.整点报时:每逢整点则报时.2. 根据需求分析,我们采用自顶向下的方法确定数字钟的总体实现思路如下:要实现以上的功能,经过分析我们设计了四个模块.分别是:计时模块,校时功能模块,闹钟功能模块和选择显示模块.根据自顶向下的设计方法确定数字钟的设计方案.为完全实现上面的4个功能,我们进一步向下进行功能划分

4、,得到更详细的设计方案如下:数字钟计时模块校时功能模块闹钟功能模块选择显示模块模24计数器模60计数器数字钟校时闹钟校时显示设置时间显示计数时间显示闹钟 3. 各模块具体实现的功能及彼此之间的数据流流动方向:1.计时模块:计时器的设计采用一般的计数器的设计方法,只是模60的秒计数器满60送进位输出,作为分计数器的CLK时钟信号.同理, 模60的分计数器满60送进位输出,作为时计数器的CLK时钟信号,这样就实现了电子时钟计数的雏形,以上是计时模块内部的数据流流向;由于要显示,所以必须还要有一个输出来表示现在的计数值,这个计数值应该送到选择显示模块进行显示,这是计时模块与外部的数据交换.2. 校时

5、功能模块:校时电路用来完成对计时时间以及闹钟时间的修改与校正,修改后的时间应该要送到相应的计数模块作为新计数的起始值,所以要有输出端将修改后的数值送到相应的计数模块.3. 闹钟功能模块:比较当时的时间是否与闹钟设置的时间相等,如果相同则应该发出通知,告诉用户时间到了,有点类似于比较器.因此要能从计时模块获得当时时间,从校时模块获得设置的闹钟时间,要能将结果输出作为提示.4. 选择显示模块根据所在的状态,选择输出正在设置的新计数时间或新闹钟时间或当前计数时间.五、 详细设计过程及遇到的困难与解决方法1. 计时模块的设计1.模60的计数器的设计:(a).实现方案:采用一般的计数器的设计方法,每来一

6、个CLK时钟信号(T为1秒),计数值加1;如果计数到59,输出一个进位,表示一个周期.(b).实现过程中的问题及解决方案:通过以上分析,我做了初步的设计,在机子上输入草稿上的程序后,通过提示,发现以下的几个错误:对于第一个提示错误,我通过将SIGNAL中的BUFFER去掉即可,由于我输出的Q_OUT中定义的是BUFFER,所以为了省时间直接拷贝,粗心而导致的错误.因为这里不是在定义引脚,而只是内部的连接线定义,但BUFFER是相当于带输出缓冲器并可以回读的引脚,所以肯定是错误的.上面这个图是我改正了几个小错后才保存的,其它一些错误的提示就没有在上面.还有两个错误是:我在定义端口时,定义了输出E

7、N,并且在PROCESS的敏感参数表中列出来了,但在后面却没有用到,所以提示出错.在PROCESS的敏感参数表中忘记写CLK信号,却写了一个输出引脚,这是致命性的错误.在输入过程中的手误,将QL=9写成了QL=9,提示出错,明显要么写成QL=”1001”.其它,逻辑上倒没有出错,因为这是参照EDA教材上187页的具有异步复位同步置数功能的计数器改写的.仿真图如下,为了便于观察分析,我在这设的END TIME是13微秒.以上是我在单独设计模60计数器时写下的,但在顶层设计时,发现如果按上面这个设计结果,将无法将新设置的时间作为新的起始计数时间.经分析只要增加一个输入用来接受新的设置值,相应用一个

8、OK信号控置便能实现.因此,增加了两个输入.出现这种情况的主要原因是概要设计没做到位.以下是修改后的仿真及相应模块的引脚图:分析可知60个脉冲要12微秒,为了观察的方便,我设置的END TIME=13,为了检验计数到59-0和以设置的新时间为起始计数的功能是否实现,因此做了以下的输入.从仿真结果可以方便的看出,功能得到了很好的实现.2.六、1、 密码:4位十进制数(0-9)。2、 0-9数字键3、 密码设置键(起始密码0000)4、 开锁键5、 清屏键6、 显示:4位密码LED(开锁时可见、密码设置时显示X)、锁状态1红(LOCK)1绿(OPEN)、密码错误1红(闪烁)7、 密码错误,报警(声

9、音)8、 连续3次开锁失败,将键盘锁死,同时按下某组合键,清除原密码设置。设计本课题时构思了两种方案:一种是用以AT89C2051为核心的单片机控制方案;另一种是用以VHDL语言控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。二、总体方案设计1、总体方框图输入信号译码器对键盘输入信号进行译码将译码后的输入移位寄存以便显示由控制信号控制是否保存当前输入对译码结果与存储器中的密码进行比较显示输出当前键盘输入值,每输出一个移一位关键部分由比较结果来控制是否开锁及开锁显示2、总体电路实现三、分模块设计实现相关功能(1)译码器译码器decoder00的VHDL语言:LIBR

10、ARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder00 IS PORT(keypad:IN STD_LOGIC_vector(9 downto 0); en:out std_logic; value:out STD_LOGIC_vector(3 downto 0);END ENTITY decoder00;ARCHITECTURE art OF decoder00 ISbeginPROCESS(keypad)ISBEGIN CASE keypad IS when 0000000001 =VALUEVALUEVALUEVALUEVALUEVAL

11、UEVALUEVALUEVALUEVALUEVALUE=0000; END CASE; en=keypad(9)or keypad(8)or keypad(7)or keypad(6)or keypad(5)or keypad(4)or keypad(3)or keypad(2) or keypad(1)or keypad(0); END PROCESS; end ARCHITECTURE art;译码器的仿真波形:仿真分析:由仿真结果可以看出:当输入KEYPAD分别为0、1、2、3、4、5、6、7、8、9时对应VALUE值输出其编码0000、0001、0010、0011、0100、0101、

12、0110、0111、1000、1001。只要有输入时,en输出为1,由此可以判定译码器部分的功能得到了很好实现。(2)移位寄存器移位寄存器REGISTER00的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY REGISTER00 IS PORT(D: IN STD_LOGIC_VECTOR(3 DOWNTO 0); EN: IN STD_LOGIC; CLK: IN STD_LOGIC; CLR: IN STD_LOGIC; Q1: BUFFER STD_LOGIC_

13、VECTOR(15 DOWNTO 0);END ENTITY REGISTER00 ;ARCHITECTURE ART OF REGISTER00 ISBEGINPROCESS(EN,CLK,CLR) ISBEGINIF CLR=1 THEN Q1=0000000000000000; ELSIF CLKEVENT AND CLK=1 THEN IF EN=1 THEN Q1=Q1(11 downto 0) & D ; END IF;END IF;END PROCESS;END ARCHITECTURE ART;移位寄存器的仿真波形:仿真分析:由第一个仿真图形可以清楚看到:当输入EN=1,输入脉

14、冲信号则信号D的值被移位寄存于Q1信号中,但是由第二个仿真图形可以知道:当清零信号CLR=1,清移位寄存输出信号变为“0000”,从这里引出接口显示,便可以完成显示用户当前输入的数值,而如果输入的是清零信号则显示为0。从以上两个仿真图形,可以清楚的看到移位寄存器的功能得到了很好的实现。(3)存储器存储器memoryLONG的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY memoryLONG IS PORT(D: IN STD_LOGIC_VECTOR(3 DOWNT

15、O 0); EN: IN STD_LOGIC; CLK: IN STD_LOGIC; RW: IN STD_LOGIC; Q1: BUFFER STD_LOGIC_VECTOR(15 DOWNTO 0);END ENTITY memoryLONG ;ARCHITECTURE ART OF memoryLONG ISSIGNAL S: STD_LOGIC_VECTOR(15 DOWNTO 0);BEGINPROCESS(EN,CLK) ISBEGIN IF CLKEVENT AND CLK=1 THEN IF EN=1AND RW=1 THEN S=S(11 downto 0) & D ; EL

16、SIF RW=1 THEN Q1=S; END IF;END IF;END PROCESS;END ARCHITECTURE ART;(4)比较器比较器COMPARE16的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COMPARE16 ISPORT( D1: IN STD_LOGIC_VECTOR(15 DOWNTO 0); D2: IN STD_LOGIC_VECTOR(15 DOWNTO 0); EN: IN STD_LOGIC; RESULT : OUT S

17、TD_LOGIC);END ENTITY COMPARE16;ARCHITECTURE ART OF COMPARE16 ISBEGINPROCESS(EN,D1,D2) ISBEGINIF EN=1 THEN IF D1=D2 THEN RESULT=1; ELSE RESULT=0; END IF;END IF;END PROCESS;END ARCHITECTURE ART比较器的仿真波形:仿真分析:由以上仿真结果可以看到:当输入控制信号EN=1时,对当前输入信号D1与存储器中的密码D2进行比较,如果输入的密码正确则输出RESULT=1,表示输入的密码正确。如果不相同即输入的密码不正确,

18、则输出RESULT=0,表示输入密码不正确。当输入控制信号EN=0时,不管你当前输入的密码是否正确,输出RESULT=0,实现了密码锁锁定的效果。因此,这部分功能也能正确的实现。(5)控制器控制器CONTROLLONG的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CONTROLLONG IS PORT(OP:IN STD_LOGIC; LOCK: IN STD_LOGIC; CLK: IN STD_LOGIC; SET: IN STD_LOGIC; ENT: IN

19、 STD_LOGIC; RESULT_IN: IN STD_LOGIC; RW: OUT STD_LOGIC; EN: OUT STD_LOGIC; LED_L: OUT STD_LOGIC; LED_O: OUT STD_LOGIC; LED_IN1: OUT STD_LOGIC; LED_IN2: OUT STD_LOGIC);END ENTITY CONTROLLONG;ARCHITECTURE ART OF CONTROLLONG ISTYPE STATES IS(ST0,ST1,ST2,ST3);SIGNAL CURRENT_STATE,NEXT_STATE: STATES;BEGI

20、NREG: PROCESS(CLK) ISBEGINIF(CLK=1 AND CLKEVENT) THEN CURRENT_STATE IF SET=1 THEN NEXT_STATE=ST1; elsIF OP=1 AND RESULT_IN=1 THEN NEXT_STATE=ST3; ELSE NEXT_STATE IF RESULT_IN=1 AND ENT=1 THEN NEXT_STATE=ST2; -ELSIF RESULT_IN=0 OR LOCK=1 THEN - NEXT_STATE=ST0; ELSE NEXT_STATE IF SET=1 THEN NEXT_STATE

21、=ST1; ELSIF LOCK=1 THEN NEXT_STATE=ST0; ELSE NEXT_STATE IF LOCK=1 THEN NEXT_STATE=ST0; ELSIF SET=1 THEN NEXT_STATE=ST1; ELSE NEXT_STATELED_L=1; -l4 RW=0; EN=1; LED_IN1=0; LED_IN2=0; LED_OLED_O=0; LED_L=1; RW=0; EN=1; LED_IN1=1; LED_IN2LED_O=0; LED_L=1; RW=1; EN=0; LED_IN1=0; LED_IN2LED_O=1;-l3 LED_L=0; RW=0; EN=0; LED_IN1=0; LED_IN2=0; END CASE;END PROCESS oo;END ARCHITECTURE ART;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号