谈DSP HPI总线与MPC8272总线接口的FPGA实现.doc

上传人:文库蛋蛋多 文档编号:4150671 上传时间:2023-04-07 格式:DOC 页数:2 大小:12KB
返回 下载 相关 举报
谈DSP HPI总线与MPC8272总线接口的FPGA实现.doc_第1页
第1页 / 共2页
谈DSP HPI总线与MPC8272总线接口的FPGA实现.doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《谈DSP HPI总线与MPC8272总线接口的FPGA实现.doc》由会员分享,可在线阅读,更多相关《谈DSP HPI总线与MPC8272总线接口的FPGA实现.doc(2页珍藏版)》请在三一办公上搜索。

1、谈DSP HPI总线与MPC8272总线接口的FPGA实现 论文关键词: DSP HPI MPC8272 FPGA VHDL源代码 论文摘要:通过对TI公司TMS320C6421 DSP HPI接口信号和接口总线时序的分析,以VHDL语言为工具,使用Altera的FPGA芯片EP3C40F780C8,设计完成MPC8272总线和TMS320C6421 DSP HPI总线之间的通信接口,并在实际的产品中得到运用,给出与整个接口设计相关的VHDL源代码。对于类似的DSP HPI接口设计,此文章具有参考和指导意义。 一、HPI概述 HPI(Host-Port Interface)主机接口,是TI高性

2、能DSP上配置的与主机进行通信的片内外设。通过HPI接口,主机可以非常方便地访问DSP的所有地址空间,从而实现对DSP的控制。 TMS320C6421的HPI接口是一个16bit宽的并行端口。主机(host)对CPU地址空间的访问是通过EDMA控制器实现的。 HPI接口的访问主要通过三个专用寄存器来实现,它们分别是HPI控制寄存器(HPIC)、HPI地址寄存器(HPIA)和HPI数据寄存器(HPID)。 二、HPI接口信号简介 (1) HD150(数据总线) (2) HCNTL10(控制HPI访问类型) 如前所述,对HPI的访问需要通过三个寄存器,即HPI地址寄存器(HPIA),HPI数据寄存器(HPID)和HPI控制寄存器(HPIC)来实现。HCNTL10就是用于选择这三个寄存器的专用引脚。 HCNTL1HCNTL0HPI访问类型00主机可读写HPI控制寄存器HPIC01主机可读写HPI数据寄存器HPID,读操作或写操作后HPIA自动增110主机可读写HPI地址寄存器HPIA11主机可读写HPI数据寄存器HPID,读操作或写操作后HPIA不变 (3) HHWIL (半字指示选择)

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号