电压控制LC振荡器设计.doc

上传人:laozhun 文档编号:4194401 上传时间:2023-04-09 格式:DOC 页数:41 大小:1.01MB
返回 下载 相关 举报
电压控制LC振荡器设计.doc_第1页
第1页 / 共41页
电压控制LC振荡器设计.doc_第2页
第2页 / 共41页
电压控制LC振荡器设计.doc_第3页
第3页 / 共41页
电压控制LC振荡器设计.doc_第4页
第4页 / 共41页
电压控制LC振荡器设计.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《电压控制LC振荡器设计.doc》由会员分享,可在线阅读,更多相关《电压控制LC振荡器设计.doc(41页珍藏版)》请在三一办公上搜索。

1、 电压控制LC振荡器设计摘要:近年来,随着无线通信技术的飞速发展,使市场对射频集成电路产生了巨大的需求。在射频电路中,压控振荡器(VCO)占有非常重要的地位,它是锁相环、时钟恢复电路以及频率综合器的重要组成电路,所以设计高性能的压控振荡器对通信系统性能的提高具有十分重要的意义。电压控制LC振荡器是如今使用非常广泛的一类电子器件,为电一光转换电路、移动式手持设备等提供了很好的解决方案。本设计采用压控振荡芯片MC1648和变容二极管MV209,外接一个LC振荡回路构成变容二极管压控振荡电路,只要改变二极管两端的电压,即可改变MC1648的输出频率。并且利用锁相环频率合成技术,采用大规模PLL芯片M

2、C145152和其他芯片构成数字锁相环式频率合成器,另外利用MC145152的分频系数A、N值而改变输出频率,使输出频率稳定度进一步提高。关键词: MV209;压控振荡器;锁相环;频率稳定 Voltage-controlled LC oscillator Abstract: In recent years, with wireless communication technology rapid development of the market for radio frequency integrated circuit produced a huge demand. In the RF c

3、ircuit, the voltage-controlled oscillator (VCO) occupies a very important position, which is phase-locked loop, clock recovery circuit and the frequency of an important component of an integrated circuit device, so the design of high-performance voltage-controlled oscillator for communication system

4、 performance the improvement of great significance. The voltage-controlled LC oscillator is now using a very broad class of electronic devices for power conversion circuit for a light, mobile handheld devices provide a good solution. Design and use of VCO varactor chip MC1648 MV209, constitute an ex

5、ternal LC oscillator circuit varactor VCO circuit, as long as the change in voltage across the diode, you can change the MC1648s output frequency. And the use of PLL frequency synthesizer technology, using large-scale MC145152 PLL chip and other chips form digital PLL frequency synthesizer, while th

6、e sub-frequency coefficients using MC145152 A, N value and change the output frequency, the output frequency stability and further increased. Key words:MV209; voltage controlled oscillator; PLL; frequency stability AGC目 录1引言31.1系统设计的目的31.2系统设计的意义4 1.3 研究范围及要达到的参数.4 1.4本课题应解决的主要问题.52系统设计要求和设计方案22.1系统

7、设计的依据22.2系统设计的要求22.3系统的性能指标22.4系统的方案论证32.4.1电压控制LC振荡器的设计与比较32.4.2功率放大器的设计与比较42.4.3频率控制方式的设计与比较52.4.4 控制模块的设计方案与选择62.4.5稳幅电路的设计方案与选择63系统硬件设计74.1压控振荡器和稳幅电路的设计74.2锁相环式频率合成器的设计74.2.1鉴相器104.2.2压控振荡器114.2.3环路滤波器124.2.4锁相环(PLL)技术基本原理134.2.5PLL频率合成电路的设计154.3前置分频器184.4低通滤波器194.5单片机控制电路的设计204系统软件设计225.1程序设计22

8、5.1.1设定A、N值,以得到需要的输出频率235.2系统的仿真265系统调试276结束语28参考文献29附 录30附录1:元器件清单30附录2:电路原理图31附录3:程序35谢 辞361.引 言 振荡器用于产生一定频率和幅度的信号,它不需要外加输入信号的控制,就能自动的将直流电流转换为所需的交流能量输出。 振荡器的种类很多,根据产生振动波形的不同,可分为正弦波振荡器和非正弦波振荡器,本设计只讨论正弦波振荡器的有关内容,正弦波按组成原理来看,可分为反馈振荡器和负阻振荡器,前者是利用正反馈原理构成的;后者是利用负阻期间的负阻效应来产生振荡的,不过反馈振荡器本质上也是一种负阻振荡器。振荡器现在在现

9、代科学技术领域中有着广泛的应用,例如,在无线电通信、广播、电视设备中用于产生所需要的载波和本机振荡信号;在电子测量仪器中用来产生各种频段的正弦信号等。对这些振荡器的主要要求是应该有较高的振荡频率和振荡幅度的准确性和稳定度,其中频率的准确性和稳定度最为重要。1.1系统设计的目了解、分析振荡器设计的基本技术和发展方向;掌握信号LC振荡器电路的主要技术指标、电路结构和工作原理。1.2系统设计的意义振荡器自诞生以来就一直在通信、电子、航海航空航天以及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接受设备的

10、基本部件。随着电子技术的发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表采用频率温度度很高的振荡电路作为定时部件等。尤其在通信系统中,电压振荡器是其中的关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要的地位。电压控制振荡器是如今使用非常广泛的一类电子器件,为电一光转换电路、移动式手持设备等提供了很好的解决方案。在LC振荡

11、器中的LC回路中,使用电压控制电容器,就可以在一定的频率内构成点协调振荡器。即电压控制LC振荡器。压控振荡器可广泛用于频率调制器,锁相环路,以及无线发射机和接收机中。1.3研究范围及要达到的参数压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本电压控制LC振荡器系统包括压控

12、振荡器(VCO),高频功率放大器(RFAMP),单片机测频系统。本系统的VCO部分采用了大变化范围的变容二极管做振荡电容,频率调节范围宽,在输入电压从0.5V变化到8V时,输出频率可以从14MHz变化到39MHz,且能保持良好的线性度,振荡环路加入了防振措施,高次谐波能得到很好的抑制,输出的正弦波波形良好,纯度高,失真低,幅度高且稳定。本系统使用单片机控制,从操作的灵活性和可靠性方面考虑,(RFAMP)只设计两级,输入级是选频电压放大,谐振在30MHz;输出级由于接成E类开关型放大器,搭接50欧负载时输出大功率和高效率的30MHz高频信号毫不费力。1.4 本课题应解决的主要问题 通过单片机AT

13、89C51控制频率合成器MC145152的分频系数A和N,以改变输出频率的大小。所采用单片机有32个I/O口,而MC145152就需要19个I/O口,可实现控制,无需要增加哦I/O口。大规模集成PLL芯片MC145152,前置分频器MC12022,VCO选用MC1648,环路滤波器LM358和RC电路构成锁相环路设计,达到功能齐全,可靠性高,抗干扰性强的特点。2.系统设计要求和设计方案设计要求是一个设计必须要求达到的目的或完成的目标,而设计方案是系统实现的重要途径,同样必不可少的。2.1系统设计的依据与电子电路设计有关的国家和行业的法规、技术标准与规范;本电压控制LC振荡器设计任务书要求的技术

14、范围。2.2系统设计的要求(1)振荡器输出为正弦波,波形无明显失真。(2)输出频率范围:1535MHz。(3)输出频率稳定度:优于。(4)输出电压峰峰值 =1V0.1V。(5)实时测量并显示振荡器输出电压峰-峰值,精度优于10。 (6)可实现输出频率步进,步进间隔为1MHz100kHz。2.3系统的性能指标一个振荡器除了它的输出信号满足一定的频率和幅度外,还必须保证输出信号频率和幅度的稳定,频率稳定度和幅度稳定度是振荡器两个重要的性能指标,而频率稳定度尤为重要。2.4系统的方案论证2.4.1电压控制LC振荡器的设计与比较 人们通常把压控振荡器称为调频器,用以生产调频信号。在自动频率控制环路和锁

15、相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。振荡器的比较 : 在各种振荡电路中,LC振荡电路是比较常见的一种。常用的LC振荡器有以下几种: 方案一:采用互感耦合振荡器形式。调基电路振荡频率在较宽的范围改变时,振幅比较稳定。调发电路只能解决起始振荡条件和振荡频率的问题,不能决定振幅的大小。调集电路在高频输出方面比其他两种电路稳定,幅度较大谐波成分比较小。互感耦合振荡器在调整反馈(改变耦合系数)时,基本上不影响振荡频率。但由于分布电容存在,在频率较高时,难于做出稳定性高的变压器,而且灵活性较差。一般应用于中、短波波段。 方案二:采用电感三点式振荡。由于两个电感之间有互

16、感存在,所以很容易起振。另外,改变谐振回路的电容,可方便地调节振荡频率,由于反馈信号取自电感两端压降,而电感对高次谐波呈现高阻抗,故不能抑制高次谐波的反馈,因此振荡器输出信号中的高次谐波成分较大,信号波形较差。方案三:采用电容三点式振荡器。电容三点式振荡电路的基极和发射极之间接有电容,反馈信号取自电容两端,它对谐波的阻抗很小,谐波电压小,因而使集电极电路电流中的谐波分量和回路的谐波电压都较小。反馈信号取自电容两端,由于电容对高次谐波呈现较小的容抗,因而反馈信号中高次谐波分量小,故振荡输出波形好,而且电容三点式振荡器的频率稳定,适于较高工作频率。 图1电容三大式振荡器方案四:集成电路振荡器。采用

17、压控振荡器芯片MC1648和变容二极管MV209,外接一个LC振荡回路构成变容二极管压控振荡器。只需要调节变容二极管两端的电压,即可改变MC1648的输出频率。由于采用了集成芯片,电路设计简单,系统可靠性高,并且利用锁相环频率合成技术可以使输出频率稳定度进一步提高。考虑到本设计要求输出波形良好,输出频率稳定及要求频带较宽,故选择方案四,利用压控振荡芯片MC1648和变容二极管MV209,外加一个LC并联谐振回路构成压控振荡器。2.4.2功率放大器的设计和比较 高频功率放大器有多种形式,有甲类(=)、乙类(=)、丙类(=20mw的功率放大器。该放大器属于固定频率上的放大器,丁类在规定时间内较难设

18、计制作,由于放大器效率较高而且容易制作和调试,所以选择用丙类谐振放大器,在丙类放大前加一个甲类放大以产生足够的激励电压,输出大于20mw的效果。LC振荡器控制信号的实现比较: 方案一:采用普通电压源,通过滑动变阻器改变LC振荡器的输入电压来实现对LC输出频率的控制,这种方案可以实现较小的频率间隔,但实际操作比较困难,且误差较大、稳定度很低。方案二:采用VCO,函数发生器,如ICL8038。它通过改变外加控制电压,改变芯片内的电容充电电流,从而可以输出一定频率的正弦波。但是其输出的频率较低,而且频率的稳定度底,频率的步进难以控制。 方案三:采用锁相环路技术,利用锁相环,使振荡器(VCO)的输出频

19、率锁定在所需的频率上,从而产生稳定的VCO控制电压,这样大大提高了控制信号的稳定性,而且性能可靠、使用方便以及多功能等优点。因此,根据本课程设计要求我们采用方案三的设计。2.4.3频率控制方式的比较方案一:利用电位器分压电路,通过改变电位器的分压比来改变变容管的反相偏压,从而改变振荡器的振荡频率。该电路的优点是电路结构简单,容易制作。但是电位很难实现对频率的精确控制,且电位器容易磨损,噪声大,受温度的影响也大。方案二:利用DAC芯片输出控制电压,通过单片机输出数据经D/A器件转换成模拟电压控制振荡器的频率。此电路控制的振荡器频率值的步进精度取决于D/A器件的转换精度。该电路的结构也比较简单,频

20、率调节是数码控制,可以大大减少噪声。在固定的VCO输入电压上,输出频率值是有一定的波动的,使得从DAC输出的数据与实际输出的频率不能一一对应。DAC的调节作用要经过单片机的运算处理,这样就有一个延时的过程,导致频率的自动调整滞后。方案三:频率合成器直接式频率合成器:采用直接式频率和成技术,将一个或几个晶体振荡器产生的标准频率通过谐波发生器产生一系列频率,然后再对这些频率进行倍频、分频或混频,获得大量的离散频率。其组成框图如图2所示。直接式频率合成器频率稳定度高,频率转换时间短,频率间隔小。但系统中需要大量的混频器、滤波器等,体积大,易产生过多杂散分量,而且成本高,安装调试都比较困难。 图2直接

21、频率合成器 模拟锁相式频率合成器:采用模拟锁相式频率合成器技术,通过环路分频器降频,将VCO的频率降低,与参考频率进行鉴相。优点是:可以得到任意小的频率间隔;鉴相器的工作频率不高,频率变化范围不大,比较好做,带内带外噪声和锁定时问易于处理。不需要昂贵的晶体滤波器,频率稳定度与参与晶振的频率稳定度相同。缺点是分辨率的提高通过增加循环次数来实现,电路超小型化和集成化比较复杂。数字锁相环式频率合成器:采用数字锁相环式频率合成技术,由晶振、鉴频/鉴相(PF/PF)、环路滤波器(LPF)、可变分频器、和压控振荡器(VCO)组成。图3为其组成框图。利用锁相环,将VCO的输出频率锁定在所需要的频率上。可以很

22、好的选择所需要频率信号,抑制杂散分量,并且避免了大量的滤波器,采用大规模的集成芯片,与前两种方案相比可以简化频率合成的部分设计,有利于集成化和小型化。频率合成采用大规模集成PLL芯片MC145152;前置分频器选用芯片MC12022,VCO选用MC1648;环路滤波器采用运放LM358和RC电路组成,即可完成锁相环路的设计。利用该方法设计简单,功能齐全,可靠性高,抗干扰性强。 图3锁相环式频率合成器基本组成框图 综上所述,选择方案三中的采用大规模PLL芯片MC145152和其他芯片构成数字锁相环式频率合成器。2.4.4控制模块的设计方案论证与选择 方案一:单片机控制 利用单片机控制集成芯片MC

23、145152的分频系数A和N,以改变输出频率的大小。由于本设计不需要显示,所采用单片机有32个I/O口,而MC145152就需要19个I/O口,可实现控制,无需要增加I/O口,电路简单。 方案二:利用FPGA来控制 FPGA的运行速度快,资源丰富,使用方便灵活,易于进行功能扩展。高系统的稳定度和抗干扰性。 综上所述,选择方案一更合理一些,资源就不会那么浪费,电路简单,便于实现。2.4.5稳幅电路的设计方案论证与选择 方案一:采用交流电压并联负反馈电路实现稳幅电路 在放大电路中引入交流电压并联负反馈。反馈网络由一个可变电阻组成,稳定输出电压。但引入交流负反馈,因而环境温度变化、电源电压波动等原因

24、引起的放大倍数的变化将减小,是以牺牲放大倍数为代价的。方案二:采用自动增益(AGC)电路实现的稳幅电路。由于VCO芯片MC1648内部有AGC电路,通过AGC自动增益控制电路,在输入信号电平变化时,用改变增益的办法维持输出信号电平基本上不变。利用该方法可以进一步提高输出电压的稳定度。保证在15-35MHz的频率范围内,输出电压峰峰值控制在1V0.1V。综上所诉,选择方案二采用交流电压负反馈电路和AGC电路作为稳幅电路。3.系统硬件设计经过方案比较与论证,最终确定的系统组成框图如图4所示,其中的集成电路MC1648、MC145152、MC12022、低通滤波器和晶振构成锁相环频率合成器,单片机控

25、制频率的步进,由于电路中既有数字电路又有高频电路,需将高频电路用金属屏蔽罩隔离,以减少交叉调制等干扰。频率测量输出A/D键盘压控LC振荡器LFPD可控分频器开关参考时钟D/A89C51单片机液晶显示峰值检波可控增益放大器D/A开关功放功率输出 图4系统的方框图 本系统以89C51单片机为核心,以4*4键盘,128*64点阵液晶构成人机接口,辅助以512Kb flash存储器W29C040,内装汉字库和图标,图形等可以构成友好的人机界面。利用8255扩展并口,DS12C887提供系统时钟。将片外低32K地址分配给外部程序存储器28C256,为使用方便,设计成与静态RAM62256兼容方式3.1

26、压控振荡器和稳幅电路的设计LC压控振荡器主要由压控振荡芯片MC1648、变容二极管MV209以及LC振荡回路构成。MC1648需要外接一个由电感和电容组成的并联谐振回路。为达到最佳工作性能,在工作频率要求并联谐振回路的100。电源采用+5的电压,一对串联变容二极管背靠背与该谐振回路相连,振荡器的输出频率随加在变容二极管上的电压大小改变而改变。 图5压控振荡电路图 压控振荡电路由芯片内部的、,10脚和12脚外接LC谐振回路(含MV209)组成正反馈(反相720)。其振荡频率由图5计算。 3-1 3-2 图6 MC1648内部电路图 VCO的芯片管脚3为缓冲输出,供前置分频器MC12022。该芯片

27、的5脚是自动增益控制电路(AGC)的反馈端。MC1648芯片内部的AGC电路(自动增益电路)维持VCO输出电压的稳定,使之不会因为频率的变化而变化,且VCO输出的信号幅度基本上与输出频率无关。同时也具有较宽的压控范围;调节使VCO输出为无明显失真的正弦波。结合MC1648的内部电路图,可以得到:当输出电压高于1V时,二极管D1反偏,电压为负,使的基极电压减小,集电极电压增大,这样的减小,电压放大倍数减小,使得输出电压减小,稳定在1V0.1V,使得输出电压进一步稳定。 VCO产生的振荡频率范围和变容二极管的压容特性有关。图7为变容二极管的测试图 。可利用图中(a)所示的测量电路来测量变容二极管M

28、V209的压容特性。(b)为其压容特性和压控振荡器的压控特性示意图。从图中可见变容二极管的反偏电压从到变化,对应的输出频率范围是到。在预先给定L的情况下,给变容二极管加不同的电压,测得对应的谐振频率,从而可以计算出 的值。减小谐振回路的电感感抗,改变电容容量,不需要并联二极管即可很容易地实现频率扩展,在试验中利用该方法用单管电感,绕6圈,曾使输出达到87MHZ以上,本设计中通过该方法使输出频率的范围扩展到1445MHz. 图7 变容二极管的测试特性3.2锁相环式频率合成器的设计 锁相环路的数学模型:锁相环路的的性能主要取决于鉴相器、压控振荡器和环路滤波器三个基本组成部分,下面先对他们的基本特性

29、予以说明。3.2.1鉴相器(PD)设压控振荡器的输出电压为 为: 3-3 式中,是压控振荡器未加控制电压时固定振荡角频率:是以为参考的瞬时相位。 环路输入电压为: 3-4要对两个信号的瞬时相位进行比较,需要在同一频率上进行。为此,可将输入信号的总相位改写成: 3-5 式中,是以为参考的输入信号瞬时相位,它等于: 3-6将式3-5代入式3-3中,则得输入信号的表达式为: 3-7 由式3-7和式3-3可知,和之间的瞬时相位差为: 3-8鉴相器有各种实现电路,例如采用相乘器的乘积型鉴相器和采用包络检波器的叠加性鉴相器,他们的鉴相特性均可表示为: 3-9式中,为鉴相器的最大输出电压。根据式3-9可作出

30、鉴相器的相位模型,如图8所示: 图8正弦鉴位器的相位模型3.2.2压控振荡器 压控振荡器是一个电压频率变换装置,它的振荡频率应随输入控制电压的变化而变化。一般情况下,压控振荡器的控制特性是非线性的。如图9(a)所示,图中是未加控制电压时压控振荡器的固有振荡角频率。不过,在附近的优先范围内控制特性近似呈线性,因此,它的控制特性可近似用线性方程来表示,即: 3-10式中,A0为控制灵敏度,或称增益系数,单位是rad/(s*v),它表示单位控制电压所引起振荡角频率的变化量。 (b)VCO相位模型 图9压控振荡器的控制特性及其电路相位模型 由于压控振荡器的输出反馈到鉴相器上,对鉴相器输出误差电压起作用

31、的不是其频率而是其相位,因此对式3-10进行积分,则得: 3-11 与式3-3相比较,可知: 3-12 由式3-12可见,就和之间的关系而言,压控振荡器是一个理想的积分器。因此,往往将它称为锁相环中的固有积分环节。将式3-12中的积分符号改为微分算子的倒数来表示,则: 3-13由此可得到压控振荡器的数学模型,如图9(b)所示。3.2.3环路滤波器在锁相环路中常用的环路滤波器有RC积分滤波器、RC比例积分滤波器和有源比例积分滤波器等,他们的电路分别如图10(a)(b)(c)所示。由图可写出他们的传递函数,现以图(b)为例,得 3-14式中,分别为输出和输入电压的拉式变化式,为复频率,,。 图10

32、环路滤波器 如果将中的复频率s用微分算子p替换,就可以写出描述滤波器激励和相位之间关系的微分方程,即: 3-15 由式3-15可得环路滤波器的电路模型,如图11所示: 图11环路滤波器的电路模型3.2.4锁相环(PLL)技术的基本原理 图12锁相环基本原理框图 一个基本的锁相环路主要由相位比较器(PD)、低通环路滤波器(LPF)、压控振荡器(VCO)组成,如图12所示。相位比较器有两个输入端,一路来自输出,一路来自基准信号源,通过比较和的相位差输出一个对应的电压。如果的相位超前于或是,输出一个负脉冲;如果的相位滞后于或是,输出一个正脉冲;通过低通滤波器变成一个控制电压,如果是负脉冲,那么电压下

33、降,是正脉冲,那么电压上升,送去控制压控振荡器使振荡频率产生变化,振荡器输出的频率又返回到相位比较器继续比较。若固定不变,那么锁相的具体过程; ;上面这两个过程段的重复,一直到,这时输出为某个特定值,频率达到了稳定值,也就是所谓的锁住了相位。我们可以总结出锁相环的性质:能鉴别两路频率的相位差,并自动调整VCO输出频率使反馈频率和基准频率同相位,也就是使与同频率。 图13带分频的锁相环实际的锁相环路还加入了数字分频网络构成了数字锁相环,如图13所示。基准频率通过N分频器送到相位比较器,/N,输出频率通过M分频器送到相位比较器另一端,因为锁相环的锁相作用,使,容易求得,由此式可见,只要固定,VCO

34、的输出频率范围足够宽,通过适当的改变M和N,就可以控制输出频率的大小。如果是用晶体振荡器产生,那么就具有与晶体振荡器同等的精度和稳定度。 图14锁相环基本原理框图锁相环的基本原理框图如图14所示。采用锁相环频率合成,可以得到任意频率步进,同时频率稳定度与参考晶振相当,可以达到。锁相环路主要由晶振、参考分频器、压控振动器(VCO)、鉴频/鉴相器(FD/PD)、低通滤波器(LPF)、可编程分频器组成。它是应用数字逻辑电路将VCO频率一次或多次降低至鉴相器频率上,再与参考频率在鉴相电路中进行比较,通过低通滤波器取出误差信号来控制VCO的频率,使之锁定在参考频率的稳定度上。由于采用了大规模集成电路块M

35、C145152,将图中的晶振、参考分频器、鉴频鉴相器、可编程分频器都集成在一个芯片中,不需要再单独设计。同时利用单片机来控制MC145152,确定分频系数A、N和频率的对应关系。 3.2.5 PLL频率合成电路的设计锁相环频率合成器是以大规模集成PLL芯片MC145152为核心设计的。MC145152是MOTOROLA公司生产的大规模集成电路,它是一块采用并行码输入方式设置、由14根并行输入数据编程的双模CMOS-LST锁相环频率合成器。图15为其内部组成方框图。MC145152内参考频率振荡器、可供用户选择的参考分频器(1288ROM参考译码器和12比A计数器)、双端输出的鉴相器、控制逻辑、

36、10位可编程的10位比N计数器、6位可编程的6位比A计数器和锁定检测等部分。其中,10位比N计数器、6位比A计数器、模拟控制逻辑和外接双模前置分频器组成吞脉冲程序分频器,吞脉冲程序分频器的总分频比为:D=PN+A(A的范围063,N的范围31023)。由此可以计算出频率和A、N值的对应关系,利用单片机控制器改变其值,便可达到改变输出频率的目的。 图15 MC145152内部结构图参考分频器是为了得到所需的频率间隔而设定的,频率合成器的输出频谱是不连续的,两个相邻频率之间的最小间隔就是频率间隔。在MC145152中,外部稳定参考源-OSCm输入,经12位分频将输入频率比R,然后送入FD/PD中。

37、比R计数分频器用于将晶振频率降低作为参考频率,可以控制输出频率间隔。R值可由Ra0、Ra1、Ra2确定,如表1所示。 表1 MC145152参考分频器分频系数设置对照表 MC145152的管脚排列如图16所示。采用28脚DIP封装,各管脚功能如下: 引脚4、5、6(、)为参考地址码输入端,用于选择参考分频器的分频比。通过128ROM参考译码器和12bit R计数器进行编程。分频比有8种选择,其参考地址码与分频比的关系如表1所列。引脚26、27(OSCIN、OSCOUT)为参考振荡端,当两个引脚接上一个并联谐振晶体时,便组成一个参考频率振荡器。但在OSCIN到地和OSCOUT到地之间一般应接上频

38、率置定电容(一般为15pF左右)。OSCIN也可作为外部参考信号的输入端。引脚1(VOC)为输入信号端,将输入信号交流耦合到本引脚,其输入信号频率应小于30MHz.引脚10、2125(A5A0)为6bit比A计数器的分频端。其预置数决定了/V(V+1)双模前置频器的/V(V+1)的次数。引脚1129(N9N0)为10bit/N计数器的分频端。 图16MC145152管脚排列引脚7、8( 、)为鉴相器双输出端,用于输出环路误差信号。如果或的相位超前,则变为低电平而仍为高电平;如果或者的相位滞后,则跳为低电平而保持高电平;如果=并与同相,则与保持高电平,仅在一个很短的时间内二者同时为低电平。引脚9

39、(MC)为模式控制端,输出的模式控制信号加到双模分频器即可实现模式变换。在,一个计数周期开始时,“MC”处于低电平,一直到A下行计满编程的剩余值(NA)。N计数器计满量后,“MC”复位为低,两个计数器重新预置到各自的编程值上,再重复上述过程。引脚28(LD)为锁定检测端,用于锁定输出信号。当环路锁定时(即与同频同相),该信号为高电平;当环路失锁时,LD为地电平。 图17 MC145152电路原理图MC145152的电路原理图如图17所示,该电路时利用单片机来控制内部的分频比和计数器来实现频率的步进的。该电路还有指示功能,当频率锁定时,指示灯是亮的,没有锁定时指示灯不亮。3.3前置分频器该分频器

40、是由控制端MC来实现分频的。选用集成芯片MC12022,分频比为P=63和64。MC12022受控于吞咽计数器的分频比切换信号,也就是模式选择信号M。当M为高电平时,分频比为P+1,当M为低电平时为P。MC145152内的/N和/A计数器均为减法计数器,当减到零时,/A计数器输出由高变低,/N计数器减到零时输出脉冲到FD/PD并同时将预置的N和A重新置入/A和/N计数器,利用这种方法可以方便的使总分频比为连续数,总分频比为D=PN+A。 (a) P/P+1前置分频器 (b)吞咽脉冲计数示意图 图18吞咽式脉冲计数原理图MC12022分频电路原理图如图19所示,该电路的输入端是接压控振荡器的输出

41、端,对产生的正弦波信号进行分频,其中有一个端是MC是个控制端,通过频率合成器的控制来实现分频的比,输出是接频率合成器的输入端,此信号和基准信号在频率合成器中进行比较。 图19MC12022分频电路原理图3.4低通滤波器低通滤波器由运放LM358和RC电路组成,其电路图如图20所示。低通滤波器用于滤波鉴相器输出的误差电压中的高频分量和瞬变杂散干扰信号,以获得更纯的控制电压,提高环路稳定性和改善环路跟踪性能和噪声性能。锁相稳频系统是一个相位反馈系统,其反馈目的是使VCO得振荡频率由有偏差的状态逐步过渡到准确的标准值。而VCO如做调频源用,其瞬间频率总是偏离标准值的。振荡器中心频率不稳定主要由温度、

42、湿度、直流电源等外界因素引起的,其变化是缓慢的,锁相环只对VCO平均中心频率不稳定所引起的分量(处于低通滤波器通带之内)起作用,使其中心频率锁定在设定的频率上。因此,输出的调频波中心频率稳定度很高。根据式3-16可以算出低通滤波器的截止频率,一般情况下该截止频率小于10Hz。理论上环路滤波器的通带应该尽量小,但是成本、体积也随之增加,几赫兹已经能满足要求。 3-16 图20滤波电路3.5单片机控制电路的设计 本设计的控制电路是采用单片机来实现的,其中单片机电路中采用的是单片机的最小系统,其中包括时钟电路、复位电路、键盘控制电路,通过键盘控制来实现步进频率。 图21单片机控制电路 单片机的时钟电路如原理图所示,在引脚XTAL1和XTAL2跨接晶振和微调电容就构成了内部振荡方式,由于单片机内部有一个高增益反相放大器,外接晶振后,就构成了自激振荡器并产生振荡时钟脉冲。 系统板上采用上电自动复位和按键手动复位方式,上电复位要求接通电源后,自动实现复位操作。手动复位要

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号