基于VHDL课程设计乒乓球游戏.doc

上传人:牧羊曲112 文档编号:4263252 上传时间:2023-04-12 格式:DOC 页数:52 大小:2.20MB
返回 下载 相关 举报
基于VHDL课程设计乒乓球游戏.doc_第1页
第1页 / 共52页
基于VHDL课程设计乒乓球游戏.doc_第2页
第2页 / 共52页
基于VHDL课程设计乒乓球游戏.doc_第3页
第3页 / 共52页
基于VHDL课程设计乒乓球游戏.doc_第4页
第4页 / 共52页
基于VHDL课程设计乒乓球游戏.doc_第5页
第5页 / 共52页
点击查看更多>>
资源描述

《基于VHDL课程设计乒乓球游戏.doc》由会员分享,可在线阅读,更多相关《基于VHDL课程设计乒乓球游戏.doc(52页珍藏版)》请在三一办公上搜索。

1、A、以太网 B、快速以太网7. 从数据表RSGL和RSGZ两个表中,查询出“编号”字段值相同的记录的全部信息,则实现此功能的SQL命令为_ 。B. 视图和查询文件的扩展名都是.QPRB. S表中年龄大于60岁的记录被加上删除标记14. 查询设计器的排序依据选项卡的作用相当于SELECT命令中的_短语。6. Visual FoxPro是一种关系数据库管理系统,所谓关系是指_。A. .DBF B. .QPR C. .SCX D. .TXT26、子网掩码中“1”代表( B)。C. 选择了一个空闲的工作区 D. 显示出错信息B. 视图和查询文件的扩展名都是.QPR 课程设计名称 乒乓球游戏程序 姓名

2、专业班级 目录摘 要1第一部分 绪论21.1 课题设计背景21.1.1 FPGA简介21.1.2 硬件描述语言VHDL21.1.3 Quartus简介21.2 课题主要内容3第二部分 系统设计42.1整体设计图42.2设计思路52.3具体功能对应等6第三部分 模块设计93.1控制模块93.1.1 引脚功能93.1.2 核心代码及解释103.1.3 RTL图133.2 分频模块143.2.1 引脚功能143.2.2 核心代码及解释143.2.3 RTL图153.3 按键处理模块153.3.1 引脚功能153.3.2 核心代码及解释153.3.3 RTL图163.4 锁楼层模块173.4.1 引脚

3、功能173.4.2 核心代码及解释173.43 RTL图18第四部分 操作配图19第五部分 结论234.1遇到的问题和改进234.2工作分配比例23第六部分 附录24基于FPGA的乒乓球游戏程序的设计摘 要VHDL是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准化硬件描述语言VHDL语言功能性强、覆盖面广、灵活性高,具有很好的实用。本文设计一个基于VHDL的乒乓游戏机模拟乒乓球比赛。用VHDL编程模拟乒乓球比赛,电路模块由分频、状态机等部分组成,对各部分编写VHDL算法,进行编译及程序下载。通过验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分,还能根据

4、接球快慢改变球运行的速度。实现乒乓游戏机的功能。关键词:乒乓游戏机、VHDL、状态机第一部分 绪论1.1 课题设计背景1.1.1 FPGA简介FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。1.1.2 硬件描述语言VHDLVHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Ver

5、y High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,

6、一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。1.1.3 Quartus简介Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera Quartus II (3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。Quartus II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。 QuartusII design

7、 提供完善的 timing closure 和 LogicLock? 基于块的设计流程。QuartusII design是唯逐一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜伏的设计延迟等,在产业领域率先提供FPGA与mask-programmed devices开发的同一工作流程。1.2 课题主要内容随着可编程逻辑电路和EDA技术的发展,在逻辑电路设计和嵌入式系统设计方面,以CPLD/FPGA为代表的可编程逻辑器件已经逐步代替了传统的标

8、准逻辑器件;本次论文的乒乓球游戏所有的程序可以集成在一个FPGA开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。本次论文主要是基于FPGA的乒乓球游戏的设计,模拟乒乓球比赛的基本过程和规则,自动裁判和记分,比局为7局,每局11分。而本次论文采用模块化设计,主要分为两大模块:时钟分频模块、状态机控制模块。第二部分 系统设计2.1整体设计图图 1 乒乓球游戏程序BDF图2.2设计思路1控制模块状态图图 2 乒乓球游戏程序控制模块状态图2总体思路SW0开关(EN)控制功能使能与比赛清零 。SW0关闭(EN=0),显示学号后六位,打开时允许运行,比分比局清零。利用状态

9、机,设初始状态处于start状态,key3为复位键,按下key3可以回到start状态。通过各种请求信号实现状态的相互转换,进而实现发球和乒乓球左右移动、击球的相互转换,并在球未击中、提前击中、发球失败时显示相应比分、比局情况。通过设计control的反馈控制频率方式,利用不同键击球的快慢控制球运行的速度。并在整个游戏结束时有一个end标记与比分比局切换显示。2.3具体功能对应等1乒乓球控制模块控制乒乓球的运行状态的转换,比分、比局的显示。反馈信号信号的设置和输出,为分频模块提供指令信号,控制球运行的速度。图 3 乒乓球运行控制模块BDF2时钟分频模块 为状态机产生3种不同的时钟信号。图 4

10、时钟分频模块BDF第三部分 模块设计3.1控制模块3.1.1 引脚功能表 1 控制模块的引脚功能表定义类型外设引脚功能in std_logicENSW0关闭显示学号后6位并对比分清零,打开则开始游戏CLK分频模块送入3种不同频率的速度RSTKEY3按一次重新开始新的一球HIT17.13SW17SW13选手甲接发球开关HIT5.2SW5SW2选手乙接发球开关HIT12.6SW12SW6禁止触球区outstd logicHEX7HEX7选手甲获胜局数HEX6HEX6选手乙获胜局数HEX5HEX5显示无意义HEX4HEX4显示无意义HEX3HEX3选手甲获胜比分HEX2HEX2选手甲获胜比分HEX1

11、HEX1选手乙获胜比分HEX0HEX0选手乙获胜比分CONTROL1.0输出反馈给分频模块LIGHT17.2LEDR17LEDR2乒乓球位置显示3.1.2 核心代码及解释模块主要分状态转换,状态译码,LED灯的译码,和辅助变量temp,辅助判断信号control的计数进程。利用temp判断是否分出胜负即游戏是否结束,结束之后显示闪烁辅助标志End并且清零比分。利用control判断接球快慢,反馈到分频器,分频器根据接球快慢控制球的运行速度,共快中慢三种速度,分别为50Hz,10Hz,5.556Hz。为方便检查结果,SW1直接控制速度开关,共快慢两种速度,分别为50Hz,5.556Hz。1 中间

12、变量的初始化:SIGNAL ST,NST:STATE:=start;SIGNAL reg:STD_LOGIC_VECTOR(17 DOWNTO 2); -灯的内部变量SIGNAL BIFEN1,BIFEN2:integer range 0 to 11;-选手甲乙的比分记录变量SIGNAL BIJUONE,BIJUTWO:integer range 0 to 4; -选手甲乙的比局记录变量SIGNAL TEMP:integer range 0 to 1; -比局数的内部计数变量SIGNAL BIJU1,BIJU2:STD_LOGIC_VECTOR(6 DOWNTO 0); -选手甲乙的比局译码输

13、出变量SIGNALBIFENOUT11,BIFENOUT12,BIFENOUT21,BIFENOUT22: STD_LOGIC_VECTOR(6 DOWNTO 0); -选手甲乙的比分译码输出变量SIGNAL REG_1:STD_LOGIC_VECTOR(6 DOWNTO 0);-数码管的内部变量SIGNAL REG_5:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_3:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_8:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_4:STD_LOGIC_VE

14、CTOR(6 DOWNTO 0);SIGNAL REG_2:STD_LOGIC_VECTOR(6 DOWNTO 0);2主控组合进程:通过判断球的位置信号及开关键信号执行相应的状态转换。EN=1时游戏开始,进入状态start,temp开始计数,进入状态wait1检测发球选手并点亮相应的球所代表的LED,之后进入状态send,之后选择进入状态,movatoright或movetoleft,球开始向对方选手移动,当球到达可接球区域时,若对方及时击打并及时关闭开关,则进入状态movetoleft或movatoright球向回移动,如此反复。若发球方或接球方未及时关闭开关,则视为击打失败,返回状态st

15、art,对方加一分。每局为11分制,共设七局。每结束一局temp就会相应加1,当temp加到5,即分出胜负之后显示闪烁辅助标志End。以选手甲发球为例:(1)进入状态start,统计比分及比局。(2)进入状态send,判断选手甲(假设甲为左边一方)是否发球,若发球则进入状态wait1,否则停留在状态start。(3)进入状态wait1,判断选手甲发球端球代表的灯REG17是否亮起,若是则进入状态movetoright,反之返回状态start。(4)进入状态movetoright,灯右移,并判断是否有无关按键按下(SW17SW14),若无则继续右移,反之返回状态start并且对方得一分。当球移至

16、REG6REG2,进入选手乙可接球区域: 当球移至REG6时选手乙及时击打相应开关SW6,则进入状态movetoleft,并调整球运行速度为快。若提前击打或未及时关闭开关,则击球失败并且对方得一分,进入状态start。REG5条件下类似。 当球移至REG4时选手乙及时击打开关SW4,则进入状态movetoleft,并调整球运行速度为中。若提前击打或未及时关闭开关,则击球失败并且对方得一分,进入状态start。REG4,REG3条件下类似。(5)进入状态movetoleft,灯左移,并判断是否有无关按键按下(SW2SW5),若无则继续右移,反之返回状态start并且对方得一分。当球移至REG17

17、REG13,进入选手甲可接球区域: 当球移至REG13时选手乙及时击打相应开关SW6,则进入状态movetoright,并调整球运行速度为快。若提前击打或未及时关闭开关,则击球失败并且对方得一分,进入状态start。REG14条件下类似。 当球移至REG15时选手乙及时击打开关SW4,则进入状态movetoright,并调整球运行速度为中。若提前击打或未及时关闭开关,则击球失败并且对方得一分,进入状态start。REG16,REG17条件下类似。附movetoright代码:WHEN movetoright=REGNSTIF HIT=00000 THEN -开关及时关上NST=movetori

18、ght;ELSE BIFEN2= BIFEN2+1;NST NSTIF HIT=00000 THEN NST=movetoright;ELSE BIFEN2= BIFEN2+1;NSTNSTNSTNSTNSTNSTNSTNSTIF HIT=10000 THEN CONTROL=01;NST=movetoleft;ELSIF HIT(5)=1 THEN BIFEN1= BIFEN1+1;NSTIF HIT(4)=1 THEN BIFEN1= BIFEN1+1;NST=start;ELSIF HIT=01000 THEN CONTROL=01; NSTIF HIT(3)=1 THEN BIFEN1

19、= BIFEN1+1;NST=start;ELSIF HIT=00100 THEN CONTROL=10;NSTIF HIT(2)=1 THEN BIFEN1= BIFEN1+1;NST=start;ELSIF HIT=00010 THEN CONTROL=10; NSTIF HIT=00001 THEN CONTROL=10; NST=movetoleft;ELSE BIFEN1= BIFEN1+1;NSTNST=start;END CASE; 3主控时序进程:控制游戏使能及状态转换,具有异步清零功能。附代码:PROCESS (EN,RST,CLK)BEGINIF EN =1 THENIF

20、RST=0 THEN ST=start;ELSIF CLKEVENT and CLK=1 THEN ST=NST; END IF;END IF;END PROCESS;4译码进程:EN=0时显示学号;EN=1时将选手甲、乙的比分及比局变量译码转为七段数码管显示。并且当TEMP=0时,在数码管上显示游戏结束标志End。代码见附录。3.1.3 RTL图图 5 控制模块RTL图3.2 分频模块3.2.1 引脚功能表 2 分频模块引脚功能表FD定义类型外设引脚功能in std_logicCLK内部50MHz时钟ENKEY3总使能开关SW1SW1频率直接选择信号CONTROL速度控制频率选择信号out

21、std_logicCLKOUT提供频率给GAME3.2.2 核心代码及解释1核心代码PROCESS(CLK,EN)VARIABLE temp1:integer range 999999 downto 0 :=0;-50HzVARIABLE temp2:integer range 4999999 downto 0 :=0;-10HzVARIABLE temp3:integer range 8999999 downto 0 :=0;-5.556HzBEGINIF EN=1 THENif CLKEVENT AND CLK=1 THENIF temp1=999999THENtemp1:=0; Q1 =

22、 NOT Q1;ELSEtemp1:=temp1+1;END if;IF temp2=4999999THENtemp2:=0; Q2 = NOT Q2;ELSEtemp2:=temp2+1;END if;IF temp3=8999999THENtemp3:=0; Q3 = NOT Q3;ELSEtemp3:=temp3+1;END if;end if; ELSEtemp1:=0;Q1 = 0;temp2:=0;Q2 = 0;temp3:=0;Q3 IF SW1=1 THEN CLKOUT=Q1;ELSIF SW1=0 THEN CLKOUTCLKOUTCLKOUTCLKOUT=Q1;END C

23、ASE;END PROCESS;2解释该模块将内部时钟的50MHz信号,变为50Hz,10Hz,5.556Hz。内部变量分别为Q1、Q2、Q3,将根据GAME模块反馈的CONTROL选择输出到CLKOUT。初始条件下可直接通过SW1控制Q1、Q3输出到CLKOUT。3.2.3 RTL图图 6 分频模块RTL图第四部分 操作配图1、EN=0,显示学号图 7 显示学号153835、1538422、EN=1,初始状态,甲和乙比局和比分均为0。图8 初始状态3、EN=1,甲发球,SW17亮。图 94、EN=1,右移状态。图 105、EN=1,乙接球失败,乙发球情况。图 116、甲乙比局2:1,比分2:

24、5情况显示如下。图177、游戏结束辅助显示如下。图12第五部分 结论4.1遇到的问题和改进问题1:在比赛结束时,比分比局迅速清零,无法使比分比局按我们的意志在某时刻清零。解决办法:增设辅助信号,当比赛结束时,使数码管在结束标志与比局之间切换显示,最后由人为的控制使能开关清零。问题2:无法按照在不同键接球改变球运行的速度。解决办法:设一个反馈控制信号,将不同键接球信息反馈回分频模块里从而控制速度。4.2工作分配比例邢可馨:分频模块,按键击球以及比赛记分译码代码的设计与开发(55%)陈声琴:速度控制处理、状态机代码的设计与开发(45%)第六部分 附录一、 分频模块代码:LIBRARY IEEE;U

25、SE IEEE.STD_LOGIC_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FD ISPORT(EN:IN STD_LOGIC;CLK:IN STD_LOGIC; CLKOUT:OUT STD_LOGIC;SW1:IN STD_LOGIC;CONTROL:IN STD_LOGIC_VECTOR(1 DOWNTO 0) );END FD;ARCHITECTURE behav OF FD ISsignal Q1:STD_LOGIC;signal Q2:STD_LOGIC;signal Q3:STD_LOGIC;BEGINPROCESS(CLK

26、,EN)VARIABLE temp1:integer range 999999 downto 0 :=0; -最快使比赛结束的速度VARIABLE temp2:integer range 4999999 downto 0 :=0; -接球加速后的速度VARIABLE temp3:integer range 8999999 downto 0 :=0; -正常发球速度BEGINIF EN=1 THENif CLKEVENT AND CLK=1 THENIF temp1=999999THENtemp1:=0; Q1 = NOT Q1;ELSEtemp1:=temp1+1;END if;IF temp

27、2=4999999THENtemp2:=0; Q2 = NOT Q2;ELSEtemp2:=temp2+1;END if;IF temp3=8999999THENtemp3:=0; Q3 = NOT Q3;ELSEtemp3:=temp3+1;END if;end if; ELSEtemp1:=0;Q1 = 0;temp2:=0;Q2 = 0;temp3:=0;Q3 IF SW1=1 THEN CLKOUT=Q1;ELSIF SW1=0 THEN CLKOUTCLKOUTCLKOUTCLKOUT=Q1;END CASE;END PROCESS;END behav;二、 状态机、击球、比赛计分、

28、译码控制模块LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY GAME IS PORT(EN:IN STD_LOGIC; CLK:IN STD_LOGIC; -速度控制时钟RST:IN STD_LOGIC; HIT:IN STD_LOGIC_VECTOR(17 DOWNTO 2); CONTROL:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); -反馈信号控制球速LIGHT:OUT STD_LOGIC_VECTOR(17 DOWNTO 2);HEX_7: OUT S

29、TD_LOGIC_VECTOR(6 DOWNTO 0);HEX_6: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HEX_5: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HEX_4: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HEX_3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HEX_2: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HEX_1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);HEX_0: OUT STD_LOGIC_VECTOR(6 DO

30、WNTO 0);END ENTITY GAME;ARCHITECTURE behav OF GAME ISTYPE STATE IS (start,wait1,send,movetoright,movetoleft);-五种状态SIGNAL ST,NST:STATE:=start;SIGNAL reg:STD_LOGIC_VECTOR(17 DOWNTO 2);SIGNAL BIFEN1,BIFEN2:integer range 0 to 11;SIGNAL BIJUONE,BIJUTWO:integer range 0 to 4;SIGNAL TEMP:integer range 0 to

31、1;SIGNAL BIJU1,BIJU2:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL BIFENOUT11,BIFENOUT12,BIFENOUT21,BIFENOUT22: STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_1:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_5:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_3:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_8:STD_LOGIC_VECTOR(6 DOWNTO 0);S

32、IGNAL REG_4:STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL REG_2:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINREG_1 = 1111001;REG_5 = 0010010;REG_3 = 0110000;REG_8 = 0000000;REG_4 = 0011001;REG_2 = 0100100;PROCESS (EN,RST,CLK)BEGINIF EN =1 THENIF RST=0 THEN ST=start;ELSIF CLKEVENT and CLK=1 THEN STREG=00000;IF BIFEN2=11

33、THEN BIJUTWO=BIJUTWO+1;BIFEN1= 0;BIFEN2= 0;END IF;IF BIFEN1=11 THEN BIJUONE=BIJUONE+1;BIFEN1= 0;BIFEN2= 0;END IF;IF BIJUONE=4 OR BIJUTWO=4 THEN-判断比赛结束TEMP=TEMP+1;END IF;NST -发球CASE HIT ISWHEN 10000=REG=10000;NSTREG=00001;NSTNSTCONTROLNSTNSTNSTREGNSTIF HIT=00000 THEN -根据按键是否及时关上判断是否发球成功NST=movetorigh

34、t;ELSE BIFEN2= BIFEN2+1;NST NSTIF HIT=00000 THEN NST=movetoright;ELSE BIFEN2= BIFEN2+1;NSTNSTNSTNSTNSTNSTNSTNST -允许接球区域IF HIT=10000 THEN CONTROL=01;NST=movetoleft; -接球成功左移ELSIF HIT(5)=1 THEN -判断是否提前击球BIFEN1= BIFEN1+1;NSTIF HIT(4)=1 THEN BIFEN1= BIFEN1+1;NST=start;ELSIF HIT=01000 THEN CONTROL=01; NSTIF HIT(3)=1 THEN BIFEN1= BIFEN1+1;NST=start;ELSIF HIT=00100 THEN CONTROL=10;NSTIF HIT(2)=1 THEN BIFEN1= BIFEN1+1;NST=start;ELSIF HIT=00010 THEN CONTROL=10; NSTIF HIT=00001 THEN CONTROL=10; NST=movetoleft;ELSE BIFEN1= BIFEN1+1;NSTNSTREGNSTIF HIT

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号