第7模数数模转换全.ppt

上传人:sccc 文档编号:4723402 上传时间:2023-05-11 格式:PPT 页数:100 大小:858.52KB
返回 下载 相关 举报
第7模数数模转换全.ppt_第1页
第1页 / 共100页
第7模数数模转换全.ppt_第2页
第2页 / 共100页
第7模数数模转换全.ppt_第3页
第3页 / 共100页
第7模数数模转换全.ppt_第4页
第4页 / 共100页
第7模数数模转换全.ppt_第5页
第5页 / 共100页
点击查看更多>>
资源描述

《第7模数数模转换全.ppt》由会员分享,可在线阅读,更多相关《第7模数数模转换全.ppt(100页珍藏版)》请在三一办公上搜索。

1、第7章 数/模和模/数转换,本章主要内容:7.1 基本概念7.2 数/模转换器(DAC)7.3 模/数转换器(ADC)7.4 综合应用举例,7.1 基本概念,将模拟量转换为数字量的过程称为模/数(Analog to Digital)转换,简称A/D转换。实现A/D转换的电路被称之为模/数转换器(Analog to Digital Converter),简称ADC。把数字量转换为模拟量的过程称作数/模(Digital to Analog)转换,简称D/A转换。完成D/A转换的电路被称之为数/模转换器(Analog to Digital Converter),简称DAC。,7.1 基本概念,D/A

2、转换器的种类:二进制权电阻DAC、R-2R倒T型电阻网络DAC及集成DAC器件DAC0832。A/D转换器的种类:并联比较型ADC、反馈比较式ADC、双积分型ADC和集成ADC器件ADC0809。转换精度和转换速度是评价A/D转换器和D/A转换器性能优劣的主要指标。一方面,为了保证数据处理结果的准确性,D/A转换器和A/D转换器必须有足够的转换精度;另一方面,为了适应快速过程的控制和检测的需要,A/D转换器和DA转换器还必须有足够快的转换速度。,7.2 数/模转换器(DAC),7.2.1 二进制权电阻DAC 一个多位二进制数中每一位所代表的数值大小称为这一位的权。实现数/模转换的基本方法是用电

3、阻网络将数字量按照每位数码的权转换成相应的模拟量,然后用求和电路将这些模拟量相加完成数/模转换。DAC的输入是数字信号。它可以是任何一种编码,常用的是二进制码。输入可以是正数,也可以是负数,通常是无符号的二进制数。,7.2 数/模转换器(DAC),7.2.1 二进制权电阻DAC,四位二进制权电阻DAC,7.2 数/模转换器(DAC),7.2.1 二进制权电阻DAC,由图7-1可以得到,当输入的数字量超过4位时,每增加一位只要增加一个模拟开关和一个电阻即可。对于n位权电阻DAC有:,7.2 数/模转换器(DAC),7.2.1 二进制权电阻DAC,在运算放大器为理想的条件下可以得到,权电阻DAC的

4、优点是电路简单,但当位数较多时,电阻的值域范围太宽。例如,一个12位的权电阻DAC,=10V,最高位权电阻阻值为1k,则最低位权电阻阻值为2111k=2048k=2.048M。由于对高位权电阻的精度和稳定性要求较高,使得制作含有阻值大、精度要求又高的集成电路很困难。,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC R-2R倒T型电阻网络DAC如图7-2所示。它只有R和2R两种电阻,克服了二进制权电阻DAC电阻范围宽的缺点。图中的S3S0为模拟开关,受DAC输入数字量a3a0的控制。ai=1时,Si接运算放大器的虚地端;ai=0时,Si接地。可见,在这个电路的各个支路中

5、,无论输入数字量是0或1,开关Si均相当于接地,因此Si无论是接地或接虚地端,流入每个2R支路的电流都是不变的。,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC,由A、B、C、D各节点向下和向右看的两条支路的等效电阻都是2R,各节点到地的等效电阻则为R。所以每条支路的电流都是流入其左侧节点电流的一半。由上述分析可以写出图7-2中各支路的电流为:,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC,考虑到数字量的控制作用,流入运算放大器的电流可写作:,对于n位倒T型电阻网络D

6、AC,可以写出,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC,由于,,所以,T型网络DAC除了具有电路简单、电阻种类少的特点外,还具有转化速度快的特点。这是由于在电路中,各支路电流不变,所以不需要电流建立时间。因此T型网络DAC是目前使用最多、速度较快的一种。【例7-1】已知倒T型电阻网络DAC的RF=R,VREF=8V,试分别求出四位和八位DAC的最小输出电压(即在DAC的输入数字量中只有最低有效位为1时的输出电压)和最大输出电压(即在DAC的输入数字量中各有效位都为1时的输出电压)的数值。,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC

7、,解:(1)最小输出电压,即在DAC的输入数字量中只有最低有效位时的输出电压。四位DAC(n=4)的最小输出电压为:八位DAC(n=8)的最小输出电压为:,(2)最大输出电压,即在DAC的输入数字量中各有效位都为1时的输出电压。四位DAC(n=4)的最小输出电压为:八位DAC(n=8)的最小输出电压为:,7.2 数/模转换器(DAC),7.2.2 R-2R倒T型电阻网络DAC,【例7-2】已知倒T型电阻网络DAC的RF=2R,VREF=V,试分别求出四位和八位DAC的最小输出电压Vomin的数值。解:与【例7-1】类似,可以写出四位DAC的最小输出电压为:,八位DAC的最小输出电压为:,7.2

8、 数/模转换器(DAC),7.2.3 DAC的主要技术指标,1.分辨率,DAC常用分辨率来表示分辨最小电压的能力。分辨率等于DAC所能分辨的最小输出电压与最大输出电压之比。,最小输出电压是指输入数字量只有最低有效位为1时的输出电压,最大输出电压是指输入数字量各位全为1时的输出电压,于是,分辨率=,7.2 数/模转换器(DAC),7.2.3 DAC的主要技术指标,2.转换误差,在DAC的各环节中,不可避免地会出现误差。转换误差常用满刻度FSR(Full Scale Range)的百分数来表示。,DAC产生的误差主要与参考电压VREF的波动、运算放大器的零点漂移、电阻网络电阻值的偏差以及模拟开关的

9、导通电阻和导统电压的变化等相关。,7.2 数/模转换器(DAC),7.2.3 DAC的主要技术指标,3.建立时间通常用建立时间来定量描述数模转换器的转换速度。建立时间是指数字信号由全1变为全0或由全0变全1起,直到输出模拟信号电压达到稳态值 1/2LSB范围以内的这段时间。,7.2 数/模转换器(DAC),7.2.3 DAC的主要技术指标【例7-3】若DAC的最大输出电压为10V,要想使转换误差在10mV以内,应选多少位DAC?解:要想转换误差在10mV以内,就必须能分辨出10mV电压。本题中,最小输出电压为10mV,最大输出电压为10V,可以写出,分辨率=,,所以,根据分辨率与精度的关系,至

10、少需要10位DAC,若考虑其它因素,需选12位DAC。,7.2 数/模转换器(DAC),7.2.4 集成DAC,集成DAC电路的种类很多。按照输出方式的不同,集成DAC电路分为电流输出DAC和电压输出DAC;按照输入方式的不同,集成DAC电路可分为并行输入DAC和串行输入DAC。DAC的芯片型号繁多,如美国国家半导体公司(National Semiconductor Corporation)生产的8位电流输出、并行输入的DAC0832,美国模拟器件公司(Analog Devices,Inc.,简称ADI)生产的12位串行输入、电流输出的AD7543等。,7.2 数/模转换器(DAC),7.2.

11、4 集成DAC1.DAC0832(1)电路结构DAC0832是并行输入、电流输出的数/模转换电路,它也可以连成电压输出型。它是采用CMOS工艺制成的20引脚双列直插式8位D/A转换器。,7.2 数/模转换器(DAC),DAC0832,7.2 数/模转换器(DAC),DAC0832 DAC0832内包含两个数字寄存器:八位输入寄存器和八位DAC寄存器,故称为双缓冲方式。两个寄存器可以同时保存两组数据,可以先将八位输入数据保存到输入寄存器中,当需要转换时,再将此数据由输入寄存器送到DAC寄存器中锁存并进行D/A转换输出。采用双缓冲方式的优点:1.可以防止输入数据更新期间模拟量输出出现不稳定的情况;

12、2.可以在模拟量输出的同时将下一次要转换的二进制数事先存入缓冲器中,从而提高了转换速度;3.可以同时更新多个D/A转换的输出,为有多个D/A转换器件的系统、多处理系统中的D/A器件协调一致地工作带来了方便。,7.2 数/模转换器(DAC),DAC0832IO1是正比于参考电压和输入数字量的电流,而IO2是正比于输入数字量的反码,即:用电压方式工作时,参考电压接到一个电流输出端(二进制原码接IO1端,反码接IO2端),输出电压从原来的VREF端得到,如图7-5(b)所示。为了减小输出电阻,增加驱动能力,通常用运算放大器作缓冲。DAC0832的主要特点如下:可与所有八位微处理器直接相连;输入数字量

13、为八位二进制代码;逻辑电平与TTL电平兼容;电流建立时间为1us。,7.2 数/模转换器(DAC),DAC0832,图7-5 R-2R梯形网络连接方式,7.2 数/模转换器(DAC),DAC0832,DI0DI7:八位数字量输入。DI0为最低位,DI7为最高位。Io1:电流输出端1。DAC寄存器输出全1时,输出电流最大,DAC寄存器输出全为0时,输出电流为0。电压型电阻网络时接参考电压。Io2:电流输出端2。Io1+Io2=VREF/R=常数。电压型电阻网络时接地。Rfb:反馈电阻端。芯片内部接反馈电阻的一端,电阻的另一端与Io1相连;与运放连接时,Rfb接输出端,Io1接反向输入端。VREF

14、:参考电压输入端,一般接-10V+10V范围内的参考电压。电压型电阻网络时作电压输出端。VCC:电源电压,一般接+15V电压。AGND:模拟信号地。DGND:数字信号地。,7.2 数/模转换器(DAC),DAC0832,直通方式:DAC处于直通方式,8位数字量一旦到达D7D0输入端,就立即加到8位D/A转换器,被转换成模拟量。,单缓冲方式:只要把两个寄存器中的任何一个接成直通方式,而用另一个锁存器数据,DAC就可处于单缓冲工作方式。,双缓冲方式:主要在以下两种情况下需要用双缓冲方式的D/A转换。,DAC0832可处于三种不同的工作方式:,7.2 数/模转换器(DAC),AD7543AD7543

15、是美国模拟器件公司生产的12位CMOS单片串行输入的数/模转换器,它是电流输出DAC器件,其结构框图如图7-7所示。它由12位D/A转换电路、寄存器B、移位寄存器A和控制门构成,其中移位寄存器A实现数据串进、并出的转换。它的引脚排列图如图7-8所示。,7.2 数/模转换器(DAC),AD7543,7.2 数/模转换器(DAC),AD7543,7.2 数/模转换器(DAC),AD7543 AD7543只有一个数据输入端SRI,在选通信号的控制下,12位数字量由高位到低位逐次一位一位地从端移入12位移位寄存器A。移位寄存器A每接收到门2输出的一个脉冲上升沿,数字量就向左移一位。当12位数字量全部进

16、入移位寄存器A后,在且控制信号时,移位寄存器A所存的数字量被送入寄存器B。然后经过12位D/A转换电路,输出模拟量。的时候,寄存器B被复位,使其内容为000H(H代表十六进制)。表7-1列出了AD7543的控制功能。由于AD7543是电流输出DAC,所以需要外加比例放大器才能得到电压输出。,7.2 数/模转换器(DAC),AD7543,表7-1 AD7543的控制功能表,7.2 数/模转换器(DAC),7.2.5 D/A转换器应用举例,DAC0832和单片机直通方式的应用在小型控制系统中,多采用单片机为控制器件,经过单片机处理的数字量应用到实际系统中时,一般需要进行数/模转换。这里介绍一种DA

17、C0832和单片机AT89C51采用直通方式连接的应用电路。,运放输出电路输出电压为,其中D为由D7D6D5D4D3D2D1D0决定的数字量。图中向DAC0832传送的8位数据量为40H(01000000B),则输出电压,输出过程由单片机控制。,7.2 数/模转换器(DAC),DAC0832和单片机直通方式的应用,7.2 数/模转换器(DAC),数控增益放大器,7.2 数/模转换器(DAC),数控增益放大器图7-10是一个由 DAC0832和运算放大器 A构成的数控增益放大器电路。其中,D代表输入数字量且其所代表的十进制数为D7D6D5D4D3D2D1D0。根据图中电路的连接关系和DAC083

18、2中倒T型电阻网络的特点,可以得到以下表达式:,所以得到放大器的增益,7.2 数/模转换器(DAC),由(7-22)式可以看出,放大器处于反相放大状态,增益的大小随DAC0832输入数字量的变化而改变,与输人数字量的大小成反比。当输入的数字量为0时,相当于开环,放大器处于饱和状态。与普通的放大器相比,这种数控增益放大器具有电路简单、调整方便、使用灵活等突出优点。,数控增益放大器,7.3 模/数转换器(ADC),7.3.1模/数转换的基本过程,ADC的输入信号为模拟量,而输出信号为数字量。一般在进行模/数转换时,要按一定的时间间隔,对模拟信号进行采样,然后再把采样得到的值转换为数字量。因此,模/

19、数转换的基本过程由采样、保持、量化和编码组成。通常,采样和保持两个过程由采样保持电路完成,量化和编码又常在转换过程中同时实现。,7.3 模/数转换器(ADC),采样与保持,采样就是按一定时间间隔采集模拟信号的过程。由于A/D转换过程需要时间,所以采样得到的“样值”在A/D转换期间就不能改变,因此对采样得到的信号“样值”就需要保持一段时间,直到进行下一次采样。,7.3 模/数转换器(ADC),采样与保持,采样保持的原理电路图如图7-11(a)所示。其中,开关S受采样信号vS的控制:当vS为高电平时,S闭合;当vS为低电平时,S断开。S闭合时为采样阶段,vO=vI;S断开时为保持阶段,此时由于电容

20、无放电回路,所以vO保持在上一次采样结束时输入电压的瞬时值上。图7-11(b)是采样保持电路输入、输出及采样信号的波形图。将A/D转换输出的数字信号,再进行D/A转换,得到的模拟信号与原输入信号的接近程度,与采样频率密切相关。,7.3 模/数转换器(ADC),采样与保持,7.3 模/数转换器(ADC),采样定理,由图7-12可见,要使采集的信号样值逼真地反映出原来模拟信号的变化规律,采样频率必须满足一定的要求。采样频率要根据采样定理来确定。采样定理:只有当采样频率fS大于或等于模拟信号最高频率分量fmax的2倍时(fS2fmax),所采集的信号样值才能不失真地反映原来模拟信号的变化规律。例如,

21、若被采样信号的最高频率分量的频率为100Hz,则采样频率应该不低于200Hz。,7.3 模/数转换器(ADC),采样定理,7.3 模/数转换器(ADC),常用的几种采样保持电路,采样保持电路种类很多,图7-13是三种常用的采样保持电路。分别由采样开关T、存储信息的电容C和缓冲放大器A等几个部分组成。,7.3 模/数转换器(ADC),常用的几种采样保持电路,7.3 模/数转换器(ADC),常用的几种采样保持电路,在图7-13(a)中,采样开关由场效应管构成,并受采样脉冲vS(t)控制。在vS(t)为高电平期间,场效应管道通,相当于开关T导通。若忽略导通压降,则电容C相当于直接与vI(t)相连,v

22、O(t)随vI(t)变化。当vS(t)由高电平变为低电平时,场效应管截止,相当于开关T断开。若A为理想运放,则流入运放A输入端的电流为0,所以三极管截止期间电容无放电回路,电容保持上一次采样结束时的输入电压瞬时值直到下一个采样脉冲的到来。然后,场效应管重新导通,vO和vC又重新跟随vI变化。,7.3 模/数转换器(ADC),常用的几种采样保持电路,图7-13(b)的原理与图7-13(a)大致相同。在vS(t)为高电平期间,场效应管导通,vI(t)经过1和开关T向电容C充电。这时R2C这个时间常数必须足够小,vO(t)才能跟踪上vI(t),即保证一定的采样速度。当电容C充电结束时,由于放大倍数,

23、所以输出电压与输入电压相比,不仅倒相,而且要乘以一个系数。,图7-13(c)是在图7-13(a)基础上,为提高输入阻抗在采样开关和输入信号之间加了一级跟随器。由于跟随器A1输入阻抗很高,所以减小了采样电路对输入信号的影响,又由于其输出阻抗低,减小了C的充电时间。,7.3 模/数转换器(ADC),常用的几种采样保持电路,7.3 模/数转换器(ADC),常用的几种采样保持电路,随着集成电路的发展,采样保持电路已制作在一个芯片上。例如,LF198就是采用双极型-场效应管工艺制造的单片采样保持电路,其典型接法如图7-14所示。,7.3 模/数转换器(ADC),常用的几种采样保持电路,采样保持电路指标主

24、要有以下两个:采样时间:指发出命令后,采样保持电路的输出由原保持值变化到输入值所需的时间。采样时间越小越好。保持电压下降速率:指在保持阶段采样保持电路输出电压在单位时间内所下降的幅值。,7.3 模/数转换器(ADC),量化与编码,采样保持得到的信号在时间上是离散的,但其幅值仍是连续的。而数字信号在时间和幅值上都是离散的。任何一个数字量的大小只能是规定的最小数量的整数倍。例如,如果最小数量是1,则数字量的大小只能为1的整数倍,为2、3、4等,而不能是小数。因此在A/D转换过程中,必须将采样-保持电路的输出电压,按某种近似方式规划到与之相应的离散电平上。这一转化过程称为数字量化,简称量化。,把数字

25、量的最低有效位的1所代表的模拟量大小叫做量化单位,用表示。对于小于的信号有两种处理方法,即两种量化方法:其一为只舍不入法,即将不够量化单位的值舍掉;其二为有舍有入法(四舍五入法),即将小于 的值舍去,小于而大于 的值视为数字量。只舍不入法的量化误差为;而有舍有入法的量化误差为。,7.3 模/数转换器(ADC),量化与编码,量化过程只是把模拟信号按量化单位作了取整处理,只有用代码表示量化后的值,才能得到数字量。这一过程称之为编码。常用的编码是二进制编码。,7.3 模/数转换器(ADC),量化与编码,图7-15是三位标准二进制ADC的传输特性。输入为01V的模拟电压,输出为三位二进制代码。横坐标是

26、理想量化后的电压输入,纵坐标是输出数字量及代表的模拟电压值。图(a)为只舍不入量化法,图(b)是有舍有入量化法。在图(a)中取量化电平,最大量化误差可达,即为;在图(b)中取量化电平,最大量化误差为,即为。当输入的模拟电压在正负范围内变化时,一般要求采用二进制补码的形式编码。,7.3 模/数转换器(ADC),量化与编码,7.3 模/数转换器(ADC),量化与编码,图7-16为一并行ADC的原理图,输入为0VREF间的模拟电压,输出为三位二进制代码。,7.3.2 并联比较型ADC,此电路由电阻分压器、电压比较器和编码器组成,采用只舍不入的量化方法。电阻网络按量化单位 把参考电压分成17V之间的七

27、个比较电压,并分别接到七个比较器的同相输入端。将经采样保持后的输入电压接到比较器的反相输入端。当比较器的输入IV+时,输出为0,否则输出为1。经优先编码器74148编码后便得到二进制代码输出。,7.3 模/数转换器(ADC),REF,7.3.2 并联比较型ADC,7.3.3 反馈比较式ADC,反馈比较法的基本思想是:每次取一个数字量加到DAC,经D/A转换便得到一个模拟电压,用这个模拟电压和被转换的输入模拟量进行比较,直到两个模拟电压相等为止,最后所取得的这个数字量就是所求的转换结果。,7.3 模/数转换器(ADC),7.3.3 反馈比较式ADC,7.3 模/数转换器(ADC),反馈比较方法与

28、用天平称量重物原理类似。例如,一个天平有15个1g的砝码。用此天平称一个小于15g的重物,可以用两种方法完成:一是每次加一只1g砝码直至天平平衡为止;二是每次分别添加8个、4个、2个、1个1g的砝码,通过比较实现对重物的称量。基于上述两种比较方法,ADC有计数型ADC(同第一种比较方法)和逐次逼近型ADC(同第二种比较方法)。,图7-17是计数型ADC的原理图,它由比较器A、计数器、D/A转换器及D触发器等组成。,7.3.3 反馈比较式ADC,1.计数型ADC,工作原理:在工作前,需按下启动按钮T,将位计数器清零。此时位DAC输出vO为0V,低于在比较器A同相输入端输入的模拟电压vI,比较器A

29、输出高电平,与门打开,时钟脉冲通过与门送入八位计数器。,7.3.3 反馈比较式ADC,1.计数型ADC,DAC的输出电压随着计数器所计数字的增加而增加。当DAC输出电压vO刚刚超过输入电压vI时,比较器的输出由高电平变为低电平,与门被禁止,计数器停止计数。此时计数器所计数字恰好与输入电压vI相对应,在比较器输出由高电平变为低电平时,计数器的输出被送入位D触发器。这时,位D触发器的输出就是与输入模拟电压vI相对应的二进制数输出量。,工作原理:,7.3.3 反馈比较式ADC,1.计数型ADC,图7-18为八位逐次逼近(逐次比较)ADC的原理图。它由比较器、逐次逼近寄存器(SAR)、DAC、输出寄存

30、器、参考电压VREF与时钟脉冲CP组成。与计数型ADC类似,逐次逼近ADC由内部产生一个数字量送给DAC,DAC输出的模拟量与输入的模拟量进行比较。当二者匹配时,其数字量恰好与待转换的模拟信号相对应。逐次逼近型ADC与计数型ADC的区别在于逐次逼近ADC是采用自高位到低位逐次比较计数的方法。,2逐次逼近型ADC,7.3.3 反馈比较式ADC,2逐次逼近型ADC,7.3.3 反馈比较式ADC,工作原理:启动信号到来时,=0,SAR清零,转换过程开始。第一个时钟脉冲到来时,SAR最高位置1,即D7=1,其余位为0。SAR所存数据(10000000)经DAC转换后得到输出电压vO,其与vI进行比较。

31、若vOvI,则SAR重新置0,D7=0,SAR为00000000;若vOvI,则D7=1不变,SAR为10000000不变。,2逐次逼近型ADC,7.3.3 反馈比较式ADC,第二个CP到来后,SAR次高位置1,即D6=1,DAC的输出电压vO再次与vI比较。若vOvI,D6=0;若vOvI,则D6=1不变,。直到对最低位D0进行比较后,SAR所保留的二进制数即为待转换的模拟电压vI的值,此时转换过程结束。,【例7-4】设图7-18所示ADC满量程输入电压vImax=10V,说明将vI=7.32V输入电压转换成二进制数的过程。,2逐次逼近型ADC,7.3.3 反馈比较式ADC,解:满量程为10

32、V时,八位DAC输入二进制数各位分别为1时所对应的模拟电压vO值如表7-2所示。转换过程如下:,来一个启动脉冲,SAR各位清零,转换开始。,【例7-4】,第一个CP脉冲上升沿到来,SAR最高位置1,其输出为D7D6D5D4D3D2D1D0=10000000,经DAC转换后vO=5V,因为vI(7.32V)vO(5V),所以最高位保持1不变,SAR中的数据为10000000。,第二个CP到来,SAR次高位置1,SAR的输出为11000000,经DAC转换后,vO=5+2.5V=7.5V。因为vO(7.5V)vI(7.32V),所以次高位重新置0,SAR中的数据为10000000。,【例7-4】,

33、第三个CP到来时,SAR输出为10100000,经DAC转换后,vO=5+1.25V=6.25V。因为vO(6.25V)vI(7.32V),所以经过第三次比较,SAR中的数据为10100000。,随着时钟脉冲的不断输入,ADC逐位进行比较,直至最低位。SAR中数据为10101111。当第八个时钟脉冲到来后,比较过程结束。这时,SAR的输出端由高电平变为低电平,SAR输出的数字信号送入八位输出寄存器作为ADC的转换结果输出。,最后,如图7-19所示,vI=7.32V时的转换结果为:10111011。下一个启动脉冲到达后,ADC重新进行下一次转换。,【例7-4】,【例7-4】,2逐次逼近型ADC,

34、7.3.3 反馈比较式ADC,逐次逼近ADC具有以下特点:转换速度较高。其速度主要由数字量的位数和控制电路决定。例如上例中,八个时钟脉冲完成一次转换,若时钟频率为4MHz,则完成一次转换的时间为:,s(7-23),转换速度c为:c=1/t=500000次/s,2逐次逼近型ADC,7.3.3 反馈比较式ADC,若考虑启动(清0)和数据送入输出寄存器的节拍(各为一个时钟周期),则n位逐次逼近ADC完成一次转换所需时间为:,t=(n+2)TC(7-24),其中TC为时钟周期。比较器的灵敏度和DAC的精度将影响转换精度。转换的抗干扰性较差。因为这种转换器是对输入模拟电压进行瞬时采样比较,如果在输入模拟

35、电压上叠加了外界干扰,将会造成转换误差。在干扰严重,尤其是工频干扰严重的环境下,为提高ADC的抗干扰能力,常使用积分式ADC。最常用的是双积分ADC。,7.3.4 双积分型ADC,双积分ADC属于电压时间变换的间接A/D转换器。其对一段时间内的输入电压及参考电压进行两次积分,变换成与输入电压平均值成正比的时间间隔;在这个时间间隔里对固定频率的时钟脉冲进行计数,计数结果就是正比于输入模拟信号的数字信号输出。图7-20是双积分ADC的原理图。它由积分器、过零比较器A2,二进制计数器,受控开关S1、S2,门控电路,参考电压VREF与时钟脉冲源CP组成。其中,S1受Fn控制,当Qn=0时,S1接被测电

36、压vI;Qn=1时,S1接基准电压-VREF。,7.3.4 双积分型ADC,7.3.4 双积分型ADC,图7-20中的电路组成如下:1)积分器 由集成运放A1和R、C组成。输入端接开关。由定时信号控制,以便将极性相反的vI和-VREF定时地进行方向相反的积分,积分时间常数。,2)过零比较器 检查积分输出是否过零:vO0,vC=“1”;vO0,vC=“0”。,3)计数器和定时器 n+1个主从JK触发器构成n+1位异步二进制计数器:前n级计数器用来计数,使与被测信号成正比的时间间隔变成数字信号保存下来,最后一级计数器Fn产生控制开关S1的控制信号Qn。当计数到2n个时钟脉冲时,Fn-1至F0均回到

37、0状态,而Fn翻转到1状态,即Qn=1,发出定时信号使开关S1从vI转接到-VREF。4)时钟脉冲控制门 与门G的一个输入端接时钟脉冲信号CP,另一端接比较器的输出,以便由比较器的输出信号vC控制与门G。,7.3.4 双积分型ADC,7.3.4 双积分型ADC,双积分型ADC的转换原理如下:转换前,S2闭合,使电容C充分放电,vO=0。计数器和触发器Fn清零。转换开始,S2断开。因为Fn=0,所以S1接到待转换的模拟电压vI上。由于vI为正值,因此积分器作负向积分,致使比较器输出为“1”,控制门G打开,计数器开始计数。当计数器计到2n个脉冲时,计数器回到全0状态,其进位脉冲将Fn置1,即Qn=

38、1,从而S1接到-VREF端。积分器在-VREF的作用下向正方向积分,vO值逐渐抬高。但是,只要vO0V,比较器输出就为“1”,门G继续打开,计数器又从0开始计数。若|-VREF|vI,则在-VREF作用期间,其积分曲线比vI作用期间的积分曲线要陡,使得计数器计到全1之前vO已经等于0。比较器输出变为“0”,封锁了门G,计数器停止计数。这时计数器所计数字就是转换结果。,7.3.4 双积分型ADC,双积分ADC的工作波形,7.3.4 双积分型ADC,由图可知,0t1这段时间S1接vI。若vI为常数,这段时间内积分器的输出为:,(7-25),而t1时刻积分器输出为:,(7-26),7.3.4 双积

39、分型ADC,因为t1时刻恰好为计数器计满2n个脉冲的时间。若脉冲周期为TC,则t1=2nTC,代入上式得:,(7-27),t1以后,开关S1接-VREF,积分器输出为:,(7-28),7.3.4 双积分型ADC,t=t2时刻,vO=0,停止计数。所以t=t2时刻上式可写作:,(7-29),若这时计数器所计脉冲个数为D,则上式可写作:,(7-30),即,(7-31),7.3.4 双积分型ADC,由上述分析可知,双积分ADC完成一次转换所需时间为:,(7-32),双积分ADC具有以下特点:,具有很强的抑制交流干扰信号的能力。尤其是对工频干扰,如果转换周期选择的合适(例如2nTC为工频电压周期的整数

40、倍),从理论上可以消除工频干扰。,7.3.4 双积分型ADC,工作性能稳定。由(7-31)式可知,转换精度只与VREF有关,VREF稳定,就能保证转换精度。,工作速度低。完成一次转换需时间。,由于转换的是vI的平均值,所以这种A/D转换器更适用于对直流或变化缓慢的电压进行转换。,7.3.5 ADC的主要技术指标,转换时间,转换时间是指从接到转换控制信号开始,到输出端得到稳定的数字输出信号所需要的时间。通常用完成一次A/D转换操作所需时间来表示转换速度。例如,某ADC的转换时间T为0.1ms,则该A/D转换器的转换速度为1/T=10000次/s。,7.3.5 ADC的主要技术指标,分辨率,分辨率

41、亦称分解度。常以输出二进制代码的位数来表示分辨率的高低。位数越多,说明量化误差越小,则转换的精度越高。例如,一个10位ADC满量程输入模拟电压为5V,该ADC能分辨的输入电压为5/210=4.88mV,十四位ADC可以分辨的最小电压5/214=0.31mV。可见,在最大输入电压相同的情况下,ADC的位数越多,所能分辨的电压越小,分辨率越高。,量化误差,7.3.5 ADC的主要技术指标,量化误差是指量化产生的误差。如采用有舍有入量化法的理想转换器的量化误差为,7.3.5 ADC的主要技术指标,精度,精度指产生一个给定的数字量输出,所需模拟电压的理想值与实际值之间总的误差,其中包括量化误差、零点误

42、差及非线性等产生的误差。,7.3.5 ADC的主要技术指标,输入模拟电压范围,输入模拟电压范围指ADC允许输入的电压范围。超过这个范围,A/D转换器将不能正常工作。例如ADC0809输入电压范围是:单极性05V。,7.3.6 集成ADC,ADC0804是八位逐次逼近式ADC,采用CMOS工艺,20脚双列直插式封装。可以通过数据总线与微机相连而不需要附加接口逻辑电路。其电平与MOS和TTL都兼容。ADC0804有两个模拟电压输入端,可以对0V5V进行转换,输入信号可采用双端输入方式。ADC0804的结构框图如图7-22所示。由时钟发生器、比较器、数据输出锁存器等组成。,1ADC0804的内部逻辑

43、结构,7.3.6 集成ADC,1ADC0804的内部逻辑结构,7.3.6 集成ADC,2ADC0804的引脚及其功能,:片选端,低电平有效。,:输出使能端,低电平有效。,:转换启动端,低电平有效。CLK IN:外部时钟输入端,当使用内部时钟时,该端接定时电容。Vin(+)、Vin(-):差分模拟电压输入端,当单端输入时,一端接地,另一端接输入电压。,:转换结束时输出低电平。GND A:模拟信号地。VREF/2:参考电压任选端。悬空时,由内部电路和VREF产生2.5V的电压值,若该端接外加电压时,可改变模拟电压输入范围。GND D:数字信号地。VCC:电源端,也作为基准电压。CLK R:接内部时

44、钟的定时电阻。D0D7:数字量输出。,7.3.6 集成ADC,2ADC0804的引脚及其功能,7.3.7 ADC的典型应用,ADC0804的应用,图7-24是ADC0804连续进行A/D转换的接线图。其时钟频率f由外接电阻R和电容C决定:,(7-33),7.3.7 ADC的典型应用,ADC0804的应用,由于电容C1两端电压不能突变,在接通电源后,C1两端产生一个由0V按指数规律上升的电压,经集电极开路缓冲/驱动器7417整形后加给 一个阶跃信号。此低电平使ADC0804启动,开始工作。,7.3.7 ADC的典型应用,1启动ADC0804,2数据转换,启动后,ADC对05V的输入模拟电压进行转

45、换,一次转换完成后,变为低电平,使=0,ADC重新启动,开始第二次转换。LED灯的亮、灭情况反映了数据转换输出的结果,即当Di=0时,LED亮,当Di=1时,LED不亮。,ADC0804工作过程,7.3.7 ADC的典型应用,3连续转换的设置,4输入电压范围的调整,ADC0804工作过程,为使ADC0804芯片连续不断地进行A/D转换,并将转换后得到的数据连续不断地通过D0D7输出,和 必须接低电平(地)。,图7-24电路输入模拟电压范围为0V5V,输出数字为0255。当输入电压范围改变时,为得到八位分解度,可在VREF端接上适当电压。当VCC=5V时,若VREF/2端悬空,内部电路使VREF

46、/2端电位为2.5V(VCC/2)。如果VREF/2端加1V电压,则输入电压范围为0V2V;若接2V,输入电压范围就为0V4V,依次类推。,7.4应用举例,A/D和D/A转换器是各种进行数据采集、检测、分析和控制系统中必不可少的部分。图7-25为一恒温箱的微机控制系统功能框图。系统由传感器、多路开关、采样-保持电路、可编程增益控制放大器、A/D转换器、D/A转换器和微处理器构成。整个系统通过数据总线、地址总线和控制总线进行通信。所谓总线就是系统中各部件公用的一组导线,各部件通过它来传送或接收数据。控制总线用来传送各部件所需要的控制信号。例如片选信号()、读出使能信号()、系统时钟信号、触发信号

47、等。与数据总线相连的有四个部件:ADC、DAC、微处理器和随机存取存储器RAM。,7.4应用举例,7.4应用举例,该系统的功能如下:,1.数据采集传感器的作用是把被测物理量转换成与其成正比的模拟电压。因此,来自温度、湿度、电功率等传感器的模拟量经过模拟多路开关、采样保持电路LF198、可编程增益控制放大器LH0084的处理被送到A/D转换器ADC0809中,转换后的数字量经过数据总线进入微处理器中。,微处理器通过控制总线向多路开关发送地址信号,选择所要转换的模拟信号。例如当微处理器发送的地址信号为ABC=000时,电功率传感器的输出信号v1被选中,通过多路开关CD4051被送到采样-保持电路,

48、把该时刻的电压采集并保持下来送到可编程增益控制放大器放大。,7.4应用举例,2.数据分析和处理,微处理器对采集到的数字量进行分析,根据系统要求做出处理,并将反馈量经过DAC转换后送到控制电路。例如,当系统检测到温度超过要求时,将发出控制减小加热系统电功率的指令和相关控制参数,这些指令和参数经过D/A转换器ADC0809变成模拟量进入控制电炉,进而达到控制恒温箱温度的功能。,本章小结,本章主要介绍了数/模和模/数转换器的工作原理、主要技术指标及其使用方法。在数/模转换器(Digital to Analog Converter,DAC)中,主要介绍了二进制权电阻DAC、R-2R倒T型电阻网络DAC及集成DAC器件DAC0832和AD7543;在模/数转转换器(Analog to Digital Converter,ADC)中,主要介绍了并联比较型ADC、反馈比较式ADC、双积分型ADC、集成ADC器件ADC0809。最后给出了采用数/模和模/数转换器的综合实例。,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号