毕业设计论文基于VHDL的计程车计价器设计.doc

上传人:sccc 文档编号:4864054 上传时间:2023-05-20 格式:DOC 页数:32 大小:515.52KB
返回 下载 相关 举报
毕业设计论文基于VHDL的计程车计价器设计.doc_第1页
第1页 / 共32页
毕业设计论文基于VHDL的计程车计价器设计.doc_第2页
第2页 / 共32页
毕业设计论文基于VHDL的计程车计价器设计.doc_第3页
第3页 / 共32页
毕业设计论文基于VHDL的计程车计价器设计.doc_第4页
第4页 / 共32页
毕业设计论文基于VHDL的计程车计价器设计.doc_第5页
第5页 / 共32页
点击查看更多>>
资源描述

《毕业设计论文基于VHDL的计程车计价器设计.doc》由会员分享,可在线阅读,更多相关《毕业设计论文基于VHDL的计程车计价器设计.doc(32页珍藏版)》请在三一办公上搜索。

1、重庆邮电大学本科毕业设计(论文)目 录摘 要IABSTRACTII目 录III前 言1第一章 概述2第一节 设计背景2第二节 EDA发展概况3一、EDA系统框架结构6二、系统级设计7第三节 EDA技术基本特征7一、“自顶向下”的设计方法8二、ASIC设计8三、硬件描述语言9四、VHDL的优点9第四节 本章小结10第二章 出租车计费系统的设计11第一节 出租车计费目标11第二节 基本设计思想11第三节 设计方案比较12第四节 本章小结14第三章 基于VHDL的出租车计费系统的实现15第一节 VHDL相关介绍15一、FPGA简介15二、部分模块设计16第二节 系统框图及各功能模块的实现及主程序17

2、一、程序流程图17二、系统总体框图18三、计费模块的实现19四、十进制转换模块的实现20五、车费路程显示模块的实现21六、八进制选择模块实现22七、显示译码模块实现23第三节 本章小结24第四章 系统仿真24第一节 软件Altera Max+plus介绍24一、软件功能简介24三、MAX+plus II 可编程设计流程25四、项目编译(设计处理)26五、仿真和定时分析(项目校验)27六、器件编程下载27七、可编程逻辑常用设计输入法介绍28第二节 模块仿真结果28一、十进制转换模块的仿真结果28二、计费模块的仿真结果29三、车费路程显示模块的仿真结果29四、八进制选择模块结果验证29五、显示译码

3、模块结果验证30第三节 本章小结30第五章 结论31致 谢32参考文献33附 录34一、英文原文34二、中文翻译40三、源程序代码45计费程序模块程序45十进制转换模块程序47车费路程显示模块程序49八进制选择模块程序50显示译码模块程序50- 30 -第一节 出租车计费目标本文中出租车的计费工作原理一般分成3个阶段:车起步开始计费。首先显示起步价(本次设计起步费为7.00元),车在行驶3 km以内,只收起步价7.00元。车行驶超过3 km后,按每公里2.2元计费(在7.00元基础上每行驶1 km车费加2.2元),车费依次累加。行驶路程达到或超过9 km后(车费达到20元),每公里加收50%的

4、车费,车费变成按每公里3.3元开始计费。车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。第二节 基本设计思想一、根据出租车计费原理,将出租车计费部分由5个计数器来完成分别为counterA,counterB,counterC,counterD,counterE。计数器A完成车费百位计数。计数器B完成车费十位和个位计数器C完成车费角和分。计数器D完成计数到30(完成车费的起步价)。计数器E完成模拟实现车行驶100m的功能。二、行驶过程中车费附加50%的功能:由比较器实现。三、车费的显示:由动态扫描电路来完成。用专用模块来实现,完成数据的输入即

5、动态数据的显示。四、通过分析可以设计出系统的顶层框图如图2.1所示:显示输出基本速率起/停开关车速摇杆车速控制模块里程动态显示器计费动态显示器 图2.1 系统的顶层框图第三章 基于VHDL的出租车计费系统的实现二、部分模块设计 FPGA部分可划分成两个模块,其中正弦波发生器模块又可细分成几个小模块。 锁存器设计 锁存器用来将单片机送来的频率数据锁存稳定在FPGA中,可以用片内的锁存器资源(或用触发器)来构成。 运算器设计 运算器是用来将频率数据转换成正弦波点与点之间的定时数据。该运算器实际上最终可转换成一除法器。该除法器描述如下: VECTOR(WIDTH R1 DOWNTO 0); END

6、COMPONENT; 上述描述实际上是调用了Altera公司的参数化模块库(LPM)中的一个元件。元件描述后,只要在程序中用Generic map和port map语句映射该元件即可。所要注意的是,上述口信号remainder是numerator和denominator模运算的结果,所以应将remainder与denominator2相比较,实际结果应在比较的基础上决定加1还是不加1。 定时器设计 定时器根据运算器传来的定时数据定时。它可以通过对基准时钟计数来实现,当定时时间一到,就触发波形的输出。 设计中采用了两个计数模块来同时计数,一个模块计数时钟的上边沿,而另一模块则计数时钟的下边沿。这

7、样相当于使系统时钟频率提高了一倍,充分利用了系统资源。 波形输出 波形输出是当定时器满足定时要求触发后就输出此时的正弦值,多个点的触发输出就形成了一个正弦波。 为节省芯片资源,这部分求某时正弦值的功能不采用构造运算器来算出正弦值,而是利用查表结构。象Xilinx公司FPGA芯片则可以利用CLB块来配置RAM或直接利用Logiblox来生成。还有象Altera公司的Flex10k系列就用查找表结构(LUT)来构建片内ROM或RAM。在工程文件中创建RAM或ROM块以后,可以通过将各时刻的正弦值(以ASCII字符表示)写进MIF文件(初始化文件)中,从而存储在RAM或ROM块中。在定时器触发后生成

8、该时的地址,通过查询该RAM或ROM块就可输出该时得正弦值。 第二节 系统框图及各功能模块的实现及主程序一、程序流程图车开始启动车费、里程清零车跑完一次后里程不计数里程显示车费显示里程计数计费器计数YESYESYESNONoO车启动图3.1程序流程图二、系统总体框图下图所示为系统的总体框图,该系统主要由负责汽车启动,停止,暂停,加速等功能的计费模块,负责把车费和路程转化为4位10进制数的转换模块,负责显示车费和路程的显示模块,以及复位模块和显示译码模块等5个模块组成。图3.2 系统总体框图三、计费模块的实现计费模块如图3.2所示,输入端口START、STOP、PAUSE、JS分别为汽车起动、停

9、止、暂停、加速按键。LUC、CHEFEI分别表示汽车行驶的路程及相应的车费。图3.2if(clkevent and clk=1)thenif(stop=0)thenchf:=0;num:=0;b:=1;aa:=0;lc:=0;elsif(start=0)thenb:=0;chf:=700;lc:=0;elsif(start=1 and js=1and pause=1)thenif(b=0)thennum:=num+1;end if;四、十进制转换模块的实现如图3.3所示,该模块把车费和路程转化为4位十进制数,daclk的频率要比clk快得多图3.3if(comb1a=9 and comb1b=

10、9 and comb1c=9)thencomb1a:=0000;comb1b:=0000;comb1c:=0000;comb1d:=comb1d+1;comb1:=comb1+1;elsif(comb1a=9 and comb1b=9)thencomb1a:=0000;comb1b:=0000;comb1:=comb1+1;comb1c:=comb1c+1;end if;else五、车费路程显示模块的实现如图3.5所示,通过该八进制模块,车费和路程将被显示出来图3.5 车费路程显示模块begin comb:=c; case comb is when”000”=d=a1; dpd=a2; dpd

11、=a3; dpdnull;end case;end process;end rtl;六、八进制选择模块实现模块如图3.6所示,该模块主要负责对不同时刻的车费路程的数值显示进行选择。 图3.6 八进制选择模块begin if(clkevent and clk=1)thenif(b=”111”)thenb:=”000”;elseb:=b+1;end if;end if;aqqqqqqqqqq=”1101111” ;end case;end process; end rtl; 第三节 本章小结本章首先对于主要使用的软件maxplus进行了一个简单的介绍,然后交代了总设计的框图以及流程图,给阅读者以一

12、个大体的印象。 从3.4节开始,分5个模块详细介绍了主要程序,一个出租车计费系统的程序在本章全部交待完毕。第四章 系统仿真第一节 软件Altera Max+plus介绍一、软件功能简介MAX+plus(Multiple Array and Programming Logic User System)开发工具是美国Altera 公司推出的一种EDA 工具,具有灵活高效,使用便捷,易学易用的特点。Altera 公司在推出各种CPLD 和FPGA 的同时也在不断地升级相应的开发工具软件,已从早期的第一代A+plus、第二代MAX+plus 发展到目前的第三代MAX+plus II 和第四代Quart

13、us。使用MAX+plus 软件,设计者无需精通器件内部的复杂结构,只需熟悉所用的设计输入工具,如硬件描述语言、原理图等进行输入,MAX+plus自动将设计转换成目标文件下载到器件中去。MAX+plus开发系统具有以下特点。多平台系统 MAX+plus的设计输入、处理与校验功能一起提供了全集成化的可编程开发工具,可以加快动态调试,缩短开发周期。开放的界面 MAX+plus可与其它工业标准的设计输入、综合和校验工具链接。具有EDIF,VHDL,Verilog HDL 以及其他的网表接口,便于与许多公司的EDA 工具接口,包括Cadence,Mentor,Synopsys,Synplicity,V

14、iewlogic等公司提供的EDA 工具的接口。模块组合式工具软件MAX+plus具有一个完整的可编程逻辑设计环境,包括设计输入、设计处理、设计校验仿真和下载编程四个模块,设计者可以按设计流程选择工作模块。与结构无关 MAX+plus支持Altera 的Classic、MAX5000、MAX7000、FLEX8000、FLEXlOK 等可编程器件系列,提供工业界中唯一真正与结构无关的可编程逻辑设计环境。硬件描述语言 MAX+plus支持各种HDL 硬件设计输入语言,包括VHDL、VerilogHDL 和Altera 的硬件描述语言AHDL。二、可编程逻辑器件设计流程简介可编程逻辑器件CPLD/

15、FPGA 的设计是指利用开发软件和编程工具对器件进行开发的过程。可编程逻辑器件的设计流程包括设计准备、设计输入、设计处理(项目编译)、仿真和定时分析、器件编程下载(设计实现)四个步骤。三、MAX+plus II 可编程设计流程设计准备在对可编程逻辑器件的芯片进行设计之前,首先要进行方案论证、系统设计和器件选择等设计准备工作。设计者首先要根据任务要求,如系统所完成的功能及复杂程度,对工作速度和器件本身的资源、成本及连线的可布通性等方面进行权衡,选择合适的设计方案。在前面已经介绍过,数字系统的设计方法通常采用从顶向下的设计方法,也是基于芯片的系统设计的主要方法,它首先从系统设计入手,在顶层进行功能

16、划分和结构设计,采用硬件描述语言对高层次的系统进行描述,并在系统级采用仿真手段,验证设计的正确性,然后再逐级设计在低层的结构。由于高层次的设计与器件及工艺无关,而且在芯片设计前就可以用软件仿真手段验证系统方案的可行性,因此自顶向下的设计方法,有利于在早期发现结构设计中的错误,避免不必要的重复设计,提高设计的一次成功率。自顶向下的设计采用功能分割的方法从顶向下逐次进行划分,这种层次化设计的另一个优点是支持模块化,从而可以提高设计效率。设计输入设计者将所设计的系统或电路以开发软件要求的某种形式表现出来,并送入计算机的过程称为设计输入。设计输入通常有以下几种方式。1)原理图输入方式2)硬件描述语言输

17、入方式3)波形输入方式4)层次化设计输入方式四、项目编译(设计处理)这是器件设计中的核心环节。在设计处理过程中,编译软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器件自动进行适配,最后产生编程用的编程文件。 语法检查和设计规则检查 设计输入完成之后,在编译过程首先进行语法检验,如检查原理图有无漏连信号线,信号有无双重来源,文本输入文件中的关键字有无输入错误等各种语法错误,并及时列出错误信息 报告供设计者修改;然后进行设计规则检验,检查总的设计有无超出器件资源或规定的限制 并将编译报告列出,指明违反规则情况以供设计者纠正。 逻辑优化和综合化简所有的逻辑方程或用户自建的宏,使设

18、计所占用的资源最少。综合的目的是将多个模块设计文件合并为一个网表文件,并使层次设计平面化(即展平)。 适配和分割确定优化以后的逻辑能否与器件中的宏单元和I0 单元适配,然后将设计分割为多个便于适配的逻辑小块形式映射到器件相应的宏单元中。如果整个设计不能装入一片器件时,可以将整个设计自动分(分割)成多块并装入同一系列的多片器件中去。划分(分割)工作可以全部自动实现,也可以部分由用户控制,还可以全部由用户控制进行。划分时应使所需器件数目尽可能少,同时应使用于器件之间通信的引线端子数目最少。 布局和布线布局和布线工作是在设计检验通过以后由软件自动完成的,它能以最优的方式对逻辑元件布局,并准确地实现元

19、件间的互连。布线以后软件会自动生成布线报告,提供有关设计中各部分资源的使用情况等信息。 生成编程数据文件项目编译的最后一步是产生可供器件编程使用的数据文件。对CPLD 来说,是产生熔丝图文件,即JEDEC 文件(电子器件工程联合制定的标准格式,简称JED 文件);对于FPGA 来说,是生成位数据文件(BitstreamGeneration)。五、仿真和定时分析(项目校验)设计项目的校验包括设计项目的仿真(功能仿真)、定时分析两个部分。一个设计项目在编译完成后只能为项目创建一个编程文件,但并不能保证是否真正达到了用户的设计要求,如逻辑功能和内部时序要求等。所以在器件编程之前应进行全面模拟检测和仿

20、真调试,以确保其设计项目在各种可能的情况下正确响应和正常工作,这就是项目校验(仿真调试)的必要性。MAX+plus提供的设计校验过程包括仿真和定时分析,项目编译后,为确保设计无误,再用专门软件进行仿真。如果发现了错误,则对设计输入进行部分修改直至无误。仿真(Simulation) MAX+plusII Simulator(仿真器)具有很强的灵活性,可以控制对单个器件或多个器件设计的仿真。该模拟程序使用编译过程中生成的二进制模拟网表文件,对一个设计实现功能仿真和时序仿真。设计者可以直接用矢量输入语言来定义输入激励,也可以用MAX+plus Wave Editor 直接绘制波形。仿真结果在Wave

21、Editor 或TextEditor 窗口显示,也可作为波形或文本文件打印出来。定时分析(TimingAnalysis) MAX+plus Timi ngAnalyzer(定时分析程序)能按矩阵方式计算设计中点到点的延时,确定在器件引线端上要求的上升和保持时间,估计最大时钟频率。MAX+plus设计输入工具与定时分析程序综合在一起,允许在设计文件中简单地指定起始和终点标记,或者用FloorplanEditor(平面图编辑器)来确定最短和最长的传播延时。六、器件编程下载在以上步骤都正确实施并完全通过以后,我们就可以将我们设计的项目(最终的数据编程文件)下载到器件中去,然后加入实际的激励信号进行测

22、试,在我们的目标系统中进行产品级使用了。如果还未最终达到我们的设计目的,则需返回以上步骤查找设计问题直至无误。至此,我们已经完整的完成了可编程逻辑器件的产品级设计流程,相信用户通过对以上步骤的了解,对可编程逻辑器件的设计有了一定的概念和了解。(有关器件下载编程配置的详细使用说明见MAX+plusII 软件设计与应用举例章节。)七、可编程逻辑常用设计输入法介绍MAX+plus II 的设计输入方法有多种,主要包括文本设计输入、原理图输入、波形设计输入、层次设计输入和平面图设计输入等多种方式,另外,还可以利用第三方EDA工具生成的网表文件输入,该软件可接受的网表有EDIF格式、VHDL格式及Ver

23、ilog 格式。设计者可根据实际情况灵活地使用最适合自己的设计方法。第二节 模块仿真结果一、十进制转换模块的仿真结果将车费和路程转换成4位的十进制如图4.1所示:输入端为:Daclk, ascore, bscore输出端为:age, ashi, abai, aqian, bge, bshi, bbai, bqian; 图4.1仿真波形图如图所示,age, ashi, abai, aqian,分别表示车费的个位,十位,百位和千位的计数显示,在daclk的上升沿发生变化。bge, bshi, bbai, bqian;分别表示路程的个位,十位,百位和千位的显示,在daclk的下降沿开始发生变化。二、

24、计费模块的仿真结果该模块是模拟汽车的启动、停止、暂停、加速按键,如图4.2所示:输入端口为:stop, start, pause, js,clk;输出端口为:b;图4.2 模块JIFEI仿真波形图如图所示,在clk的上升沿,js变为1的时候,计费系统开始计费。三、车费路程显示模块的仿真结果该模块是将车费和路程显示出来如图4.3所示输入端为:c, a1,a2,a3,a4,b1,b2,b3,b4;输出端为:dp,d;图4.3 车费路程显示模块仿真波形图四、八进制选择模块结果验证模块仿真如图4.4所示:输入端为:clk输出端为:a图4.4 八进制选择模块仿真波形图如图所示,在clk的上升沿a开始发生

25、变化,并开始进行计数,当进行到“111”时在下一个上升沿复位为“000”,此模块与“车费路程显示模块”相连接,负责选择显示车费路程。五、显示译码模块结果验证模块仿真的结果如图4.5所示 输入端为:d 输出端为:q图4.5 显示译码模块仿真波形图如图,经过本模块以后,输入端输入的2进制数被7段显示译码器翻译成为相对应的数字显示出来。第三节 本章小结本章主要对于前面第三章节所交待的5个模块的程序进行仿真并进行相应的结果验证。第五章 结论出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车费保持

26、不变。若停止则车费清零,等待下一次计费的开始。出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始。在出租车计费系统的5个模块中 ,重点在于计费模块,十进制计费转换模块、车费路程转换模块。计费模块主要有汽车的启动、停止、暂停、加速按键;十进制模块主要是把车费和路程转化为4位十进制;车费路程模块是将车费和路程显示出来。各模块完成后,在将它们组合成完整的出租车系统,在设计过程中还需要改进的是控制系统的纠错功能。出租车计费系统的设计中体现了覆盖面

27、广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的价值。致 谢本文是在指导老师希捷莱茵老师和Kk-sara老师的悉心指导下完成的,罗老师在学术上严格要求,给予我孜孜不倦的教诲和不断的鼓励,及在学术上一丝不苟的精神,本人受益匪浅,在此向莱茵老师表示衷心的感谢和敬意! 至此,毕业设计就快接近尾声了,在这里我再一次诚挚的感谢莱茵老师和在大学期间对我谆谆教导的老师和领导们。 最后,向在设计中给予我关心、支持和帮助的同学表示谢意!参考文献1 候伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计. M.西安:西安电子科技大学出版社,19992

28、 张昌凡,等.可编程逻辑器件及VHDL设计技术M.广州:华南理工大学出版社,20013 曾繁泰,陈美金.VHDL程序设计M.北京:清华大学出版社,20014 潘松,等.VHDL实用教程M.成都:电子科技大学出版社,20005 高健,沈庆宏,高敦堂. ITS 中车辆检测器设备的研究与实现J.交通与计算机,2002. 20 (5):77-816 王小平,王彦芳, 等. 基于EDA 技术的出租车费计价单片系统J. 实验室研究与探索, 2003, 19 (5) : 55-577 卢庆利. 将VHDL 硬件描述语言引入数字电路教学的探索J. 实验室研究与探索, 2000, 19 (5) : 164-16

29、88 刘艳. EDA 技术在数字系统电路设计实验中的应用J. 实验室研究与探索, 2002, 21 (3) : 105-1079 潭会生,张昌凡. EDA技术及应用J.西安:电子科技大学出版社.2001,16(2) : 46-4810 徐颖.Protel 99 SE EDA技术及应用J.西安:机械工业出版社. 2005, 11 (9) : 99-10011 Mark D. Birnbaum. Essential electronic design automation 中国机械出版社. 200512 徐灵献.EDA技术在现代电子设计中的应用2003, 13 金凤莲.VHDL语言在EDA仿真中的

30、应用 2005, 14 周清华,马善农,谢勇勤等.基于CPLD的出租车计价器的设计与研究 2004, 15 陈爱萍.数字系统设计与ASIC技术 2002, 16 余华,岳秋琴.电子设计自动化技术的发展及在现代数字电子系统设计中的应用2001, 二、中文翻译摘要本文提出了一种出租车多通道计价的方案,能同时处理一个以上乘客的情形。 为了从理论上说明本方案,提出了一个实验上的三通道型的士的完整设计(其原型是根据埃及科学和技术研究学院的研究而建成得)。 1 .导言 在不发达的国家,早上把人们从他们家送到工作的地方,然后下午送回来已成为一个大问题,尤其是在大城市。作为解决这个问题的一个部分,在某些国家出

31、租车用来解决这个问题,送人们从一个地方到另外一个地方。 例如,出租车的四个席位可携带四个不同的没有任何关系的乘客,除了他们的路线是相同的。 因此,依靠目前的传统的单车道计价以确定所需的票价,把每个乘客的计费分开,这已成为一个非常困难的问题。因此,在出租车司机, 车主,乘客和国家税务部门之间存在着不公平的财政关系。 在这种情况下,出租车司机强迫乘客支付多于他们所应付的。在某些情况下乘客支付了他们应付车费的双倍。本常规单频道计程车,出租车司机不能够确定出租车日常收入。 在某些情况下(出租车的4个席位) ,他们可能只有出租车四分之一的收入 ( 大部分的出租车司机)。从这些支付工资的出租车司机以及作为

32、燃料费用外,还要维修以及汽车折旧等费用。事实上,出租车业主并非似乎如此糟糕 。一项在出租车司机和车主之间的协议已经达成,司机应保证每天固定收入,以及向业主支付燃料以及维修的费用。即使如此,还是有的出租车司机的很大一部分份额之收入的出租车。现在还存在的单声道计价,已经变得非常,国家税务部门也知道这种困难 每年估计出租车业主的收入支出,以及应支付的税务也很困难。为了应对这一问题,国家税务部已实行固定估计税,每个座位的出租车不论收入。在本文中,我们介绍了多通道的士计程表,可处理超过一名乘客同时进行的情况。我应该指出,我所说的长期旅客指一个人或一组相关的人。我同时也应指出,我们提出的多渠道的计价,不是

33、简单地说,一个多显示读数。作为一个先进的事项,事实上它包含逻辑电路,可以自动计算变化的车费以及每公里行走距离或每分钟的候车时间按照乘客人数雇用出租车。 在下面的部分,我举出一个例子,我们将介绍一个完整的三通道计价。 框图以及详细的电路图,实验三通道计价功能也包括在内。原型下已建成 埃及赠款科学学院 和技术研究。2 .实验THREECHANNEL 出租车计价器理论的运作我们的实验装置从事电子数字计价依据。事实上速度电缆旋转1 圈的每米距离行驶。因此,如果车速电缆耦合与速度传感器,产生一个单脉冲每平方米的旅行距离,那么,我们的的士可以三倍于反模块相与速度传感器的单位。然而,我们的实验是计价而不仅仅

34、是只显示三个读数。事实上,它包含逻辑电路,可以根据每公里的行驶距离或每分钟等候时间按照乘客人数雇用出租车来自动改变车费。该装置可能会分成两个主要部分组成:第一是速度传感器,这个传感器可位于任何地方,在出租车内进行这样一个简单的耦合车速电缆是可以实现的。单位包含了主要的电子电路,显示器以及控制面板。该单位应位于前排的司机和乘客之间。一个可能的组成部分位置如1所示。 A 速度传感器 其主要职能是本单位提供脉冲的培训,这个脉冲的频率会于旋转角度相适合。一种可能的形式一个速度传感器是如图2所示。如果可以包含正弦波发生器的输出连接到脉冲整形电路的永磁器件(2通用芯片二极管,1000欧姆的电阻和施密特触发

35、逆变器) 。 为了找到某种方式来检测出租车的运动,正弦波发生器的输出是纠正通过一个通用的硅二极管延胡索乙然后平滑的1000年F电容。那个输出电压在终端Q是当时限于价值4.7伏特用益欧姆的电阻以及一个齐纳二极管ZD 。出租车的终端电压在终端Q将高电压降为零。这电压可作为改变出租车从距离计费到时间计费方式的开关电压。主要的电子和显示单元 一个建议是主要形式的电子和显示单元是如图3所示。控制和显示器面板包含所有控制所必需的经营的士以及四个可读显示器。第一频道将给出从第一乘客,第二乘客,第三乘客分别应付的费用,第四个会给出总收入给予出租车。最后读出的数据会包括停车的费用等等费用。频道选择器开关1,第2

36、和第3个,按顺时针/逆时针的立场。在充分逆时针的立场,反相应的读出是未标明和残疾人。以顺时针方向则是未定义的,清除为零,对于第一第二第三的乘客分别计费。第四号推进总钮第四次读出,使任何人保留读出相应的总收入。经过释放按钮,第四次读出将再次保留。这个单位还包含主要电子电路将在下一节充分描述。描述的主要电子电路 电路一般框图主要电子电路如图4所示。它由五个部分指定的电脑符号与电话系统整合成为4个支撑电路,它们是:判断乘客数量电路CT1,旅行距离电路CT2,等待时间电路CT3,时钟脉冲显示电路CT4。 乘客人数检测电路CT1如图所示的一般框图,该电路电脑与电话系统整合有三个输出:1,2和3相对应于三

37、个输出J ,K和L。这个循环电路函数包含高电压的终端 J,K或L,如果有1个或者2,3个乘客分别租用出租车。这个组里的任意乘客都是一组相关的人。当一个乘客进入出租车后,我们只是表示这样一种情况,自由读出显示在谈到相应的旋转选择开关,以一个完全顺时针方向。 这将自动断开相应的终端1,2或3个从地面。逻辑关系各种输入端子之间第1,第2和第3个输出端J,K和L是列于表1 。 作为一个组合电路,我们开始设计产生了一系列布尔函数。一种可能的逻辑图的基础上,上述源性表达如图5。它包括两个变频器,4个2输入和3输入以及2个3输入或门。B.行驶距离标量环路CT2 如图所示的方框图图4,电路CT2有4个输入J,

38、K,L及E和1个输出M,输出功能的电路是供应单脉冲的输出M的某一些脉冲产生的输出的速度传感器(出租车行驶了一定得距离),根据乘客的人数租用的汽车。我们建议票价按每公里行驶距离显示在两个表格2里面。表2 这个环路,在这种情况下,应提供单脉冲的输出M的每100,125或143脉冲所产生的输入端根据级别的电压输入终端3,K或L。我们的电路按图5显示,三个十年的计数器,作为一个三位数分频器的分比率100 ,125和143个自动选定的电压一级终端J ,K和L分别。一种可能的线路图可被验证,上述功能如图6。它包括三个十年的计数器7490,一个声BCD -以杜威解码器输入7445,3个4输入和1个3输入以及

39、1个2输入和2个3输入或门。时间缩放电路CT3.如框图中所示,时间缩放电路含有4个输入端 J ,K ,L及F和一个输出端N,这个电路的函数根据表格2的意思(车费每2分钟的等待时间)是在J , K和L分别供应单脉冲到输出端N时,提供单脉冲的输出N。时间缩放电路将类似于距离标量环路,但是有不同的行驶比率。它包括3个十进制计数器7490 ,2个3输入与门和一个5输入与门,1个2输入与门和一个3输入或门。电路产生时钟脉冲的显示电路CT4这条电路的作用根据电压电平在输入终端J、K或者L,分别供应1,2或者脉冲在每脉冲的输出终端R引起在任何终端N或M。无论输入端N或者M中的谁发送脉冲,都只有一个脉冲能被输

40、出端P接收。如图8,它由一个反向计数器7493构成 ,其中一半是双JK主从触发器电路,型号为7476,包括三个变频器,三个2输入与门,一个3输入与门,1 2输入或门以及一个3输入或门。当脉冲引起在输入的终端N或M,触发器的输入Q上将产生高级电压。这个门信号将被连接到计数器的输入A并且连接到输出终端R。当第一,第二或第三个脉冲由涟波计数器开始计数,J,K,L端会分别根据电压的大小来使产生重置或者翻转来改变状态,然后Q端变为输出低电压。因此,1 KHz信号没有能力到达输出端R或是计数器的输入端A。为了确保电路的函数准确无误,当切换到新频道时,触发器要清零。对于功能选择开关旋转渠道的描述,稍后会以一

41、个成功的5输入门函数来解释。显示电路如图2所示,该显示面板将包含三个4位数显示器,这样可以给出每个乘客应付车费的总和,一个六位数显示器可以给出出租车的总收入。一种可能的接线图的显示电路如图9所示。以顺时针方向旋转所选择的开关将提供相应的显示,这可以通过5伏电压来分别控制1,第2和3终端。对应的显示通过供应低级电压通过终端A、C和G,分别。保持终端D和H在低级状态下重置为零对应的显示分别通过终端B,D,H而改变低压状态,并准备好从对应的乘客那里计算出相应的计数款额,计数脉冲这三个显示器通过终端提供总额。计数器还将通过终端P为3个显示器提供脉冲只要这三个显示器中任意一个是正常的,那么总额将被显示出

42、来。时间和距离变化时车费的改变在下面的部分,两种不同的方法使得时间和距离改变从而导致车费发生变化,有如下建议:首先是当以路程计价的费用低于以时间计费的费用时,采用时间计费。从此,一个简单的票价表(表2)显示当出租车移动速度小于50米/分时应该采用时间计费方式。一种可能的电路可以执行此开关行动如图10c,它包含一个转速限位开关和一个反转器以及两个2输入与门。接触的限位开关通常是封闭,只有当角速度超过50RMP的时候才会打开。第二个改变将中断连接到图10C的输入端,输出端Q连接速度的电路。在这种情况下,只要出租车的状态保持静止,那么计费开关就会处于关闭状态 。功能选择旋转开关功能选择开关旋转的电压

43、应提供的该终端的旋转选择开关,以确保正常运行的电子电路列于表3。每5个杆就有4个板连接着3个旋转选择开关,每个符合逻辑功能表3,是如图10所示答:旋转任何三个切换到完全顺时针方向将通过5个职位。功能的旋转选择开关可以说是从第一的位置通过直到达到最后的立场如下:初始位置:在这个位置上的低电压电平适用于第一第二和第三终端,浙江断开来自三个中一个显示器的5伏特电压供应,设置三个显示器,乘客检测电路并与电路系统整合到较低的水平。终端D,H采用低电压,这是为了确保显示的总收入选项已被禁用。步骤1:以顺时针方向旋转任何旋转选择开关一格将提供5伏特电压到相应的显示,提供一个高等级的电压终端1,2或3,这表明一名乘客已经进入了出租车。终端C,G应为高电平,以确保相应的显示仍然是笼罩。其他端口,如D,H端口保持不变。步骤2:旋转旋转选择开关1,然后将在终端A,C或G上改变电压使其处于低电压状态, 并会产生相应的显示。终端1,2,3以及S上的电压状态保持不变。终端B,D和H应保持在较低水平,以确保当显示为无数据时相应的读出清除为零。三、源程序代码计费程序模块程序library ieee;use ieee.std_logic_1164.all;use ieee.std_

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号