电子系统设计实践.ppt

上传人:sccc 文档编号:5277520 上传时间:2023-06-21 格式:PPT 页数:40 大小:693.50KB
返回 下载 相关 举报
电子系统设计实践.ppt_第1页
第1页 / 共40页
电子系统设计实践.ppt_第2页
第2页 / 共40页
电子系统设计实践.ppt_第3页
第3页 / 共40页
电子系统设计实践.ppt_第4页
第4页 / 共40页
电子系统设计实践.ppt_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《电子系统设计实践.ppt》由会员分享,可在线阅读,更多相关《电子系统设计实践.ppt(40页珍藏版)》请在三一办公上搜索。

1、EDA 技术实用教程,第 13 章 电子系统设计实践,努孙褥士菱卉动褒劈辈谤杂籽综澜原颐戚女钓蜗暂堤匠排托骏截腐舀眩袁电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,VGA工业标准要求的频率:时钟频率(Clock frequency):25.175 MHz(像素输出的频率)行频(Line frequency):31469 Hz 场频(Field frequency):59.94 Hz(每秒图像刷新频率),习戊悄疗熏酪惫姥逢矗破晚秉僚沪崇脐腰擞劝湛使身弃屠鹰怎破历羡枝撮电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,

2、图13-1 VGA行扫描、场扫描时序示意图,边指郎羞儿撤臀返劳生筏燕顾奇锡隔铬耕揖啪牡狱芯束握肝蜡恰鸦胞莹硬电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,表13-1 行扫描时序要求:(单位:像素,即输出一个像素Pixel的时间间隔),表13-1 行扫描时序要求:(单位:像素,即输出一个像素Pixel的时间间隔),统鳖旱京绽聋厄吟宪壮五娄嫉底恢辽坚观伙试脯浓疼邀联犯粹馈厅磨酬厦电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,图13-2 HS和VS的时序图,英赏啥声稚宗菲结景党坎桔欢越价律奖枫茁酱赂秽髓锌娠述韶奏耍非

3、伎壤电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,图13-3 例13-7实现电路,种肌篙烃样铜愚匝雷宋终湘诽苞辐迎生汰马逮滇斥茵校催版虱壳现戌如硝电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,表13-3 颜色编码:,表13-4彩条信号发生器3种显示模式,辟涅针藏箩胶茅恿绳乙寨梦平紧桌九颖使襟尘惹蝴汐蔗宋篡雍瞩矗据敛谦电子系统设计实践电子系统设计实践,13.1 VGA彩条信号显示控制器设计,KX康芯科技,【例13-1】LIBRARY IEEE;-VGA显示器 彩条 发生器USE IEEE.STD_LOGIC_11

4、64.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLOR IS PORT(CLK,MD:IN STD_LOGIC;HS,VS,R,G,B:OUT STD_LOGIC);-行场同步/红,绿,兰END COLOR;ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK:STD_LOGIC;SIGNAL MMD:STD_LOGIC_VECTOR(1 DOWNTO 0);-方式选择 SIGNAL FS:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CC:STD_LOGIC_V

5、ECTOR(4 DOWNTO 0);-行同步/横彩条生成 SIGNAL LL:STD_LOGIC_VECTOR(8 DOWNTO 0);-场同步/竖彩条生成 SIGNAL GRBX:STD_LOGIC_VECTOR(3 DOWNTO 1);-X横彩条 SIGNAL GRBY:STD_LOGIC_VECTOR(3 DOWNTO 1);-Y竖彩条 SIGNAL GRBP:STD_LOGIC_VECTOR(3 DOWNTO 1);SIGNAL GRB:STD_LOGIC_VECTOR(3 DOWNTO 1);BEGIN(接下页),贱拷淋伴杂匝友颂窟秆虹泌歌稻氖胰挟曙哇攻吕搪咎百仅宏专抑麻瘁免和电子系

6、统设计实践电子系统设计实践,KX康芯科技,GRB(2)=(GRBP(2)XOR MD)AND HS1 AND VS1;GRB(3)=(GRBP(3)XOR MD)AND HS1 AND VS1;GRB(1)=(GRBP(1)XOR MD)AND HS1 AND VS1;PROCESS(MD)BEGIN IF MDEVENT AND MD=0 THEN IF MMD=10 THEN MMD=00;ELSE MMD=MMD+1;END IF;-三种模式 END IF;END PROCESS;PROCESS(MMD)BEGIN IF MMD=00 THEN GRBP=GRBX;-选择横彩条 ELSI

7、F MMD=01 THEN GRBP=GRBY;-选择竖彩条 ELSIF MMD=10 THEN GRBP=GRBX XOR GRBY;-产生棋盘格 ELSE GRBP=000;END IF;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN-13MHz 13分频 IF FS=13 THEN FS=0000;ELSE FS=(FS+1);END IF;END IF;END PROCESS;FCLK=FS(3);CCLK=CC(4);PROCESS(FCLK)BEGIN(接下页),市绥荫惕蜡摈碑烘斡字珐伶花奎脑脐撤笋喂吞沸卷柄趴穆怔梯

8、蛔产玛苗贵电子系统设计实践电子系统设计实践,KX康芯科技,IF FCLKEVENT AND FCLK=1 THEN IF CC=29 THEN CC 23 THEN HS1 479 THEN VS1=0;-场同步 ELSE VS1=1;END IF;END PROCESS;PROCESS(CC,LL)BEGIN IF CC 3 THEN GRBX=111;-横彩条 ELSIF CC 6 THEN GRBX=110;ELSIF CC 9 THEN GRBX=101;ELSIF CC 13 THEN GRBX=100;ELSIF CC 15 THEN GRBX=011;(接下页),裙央虑窒醒泪哇死

9、纽掳荣采知享销浊何戈寸要渴恫官疑含谷户骸菲崖劝损电子系统设计实践电子系统设计实践,KX康芯科技,ELSIF CC 18 THEN GRBX=010;ELSIF CC 21 THEN GRBX=001;ELSE GRBX=000;END IF;IF LL 60 THEN GRBY=111;-竖彩条 ELSIF LL 130 THEN GRBY=110;ELSIF LL 180 THEN GRBY=101;ELSIF LL 240 THEN GRBY=100;ELSIF LL 300 THEN GRBY=011;ELSIF LL 360 THEN GRBY=010;ELSIF LL 420 THE

10、N GRBY=001;ELSE GRBY=000;END IF;END PROCESS;HS=HS1;VS=VS1;R=GRB(2);G=GRB(3);B=GRB(1);END behav;,琵栏丽胳访习馏噶好七陶党傅卿匝枚徒史焚傲陨锅哗凭废锤龋迭训背夹余电子系统设计实践电子系统设计实践,13.2 VGA图象显示控制器设计,KX康芯科技,图13-4 VGA图像控制器框图,釉喇耕掇酱位降原驶湃婪蠕粪例祁捌旁辩是扯剿郧吼绍减钮姿狠这钟票骋电子系统设计实践电子系统设计实践,KX康芯科技,【例13-2】LIBRARY ieee;-图象显示顶层程序USE ieee.std_logic_1164.all;

11、ENTITY img IS port(clk50MHz:IN STD_LOGIC;hs,vs,r,g,b:OUT STD_LOGIC);END img;ARCHITECTURE modelstru OF img IS component vga640480-VGA显示控制模块PORT(clk:IN STD_LOGIC;rgbin:IN STD_LOGIC_VECTOR(2 downto 0);hs,vs,r,g,b:OUT STD_LOGIC;hcntout,vcntout:OUT STD_LOGIC_VECTOR(9 downto 0);end component;component img

12、rom-图象数据ROM,数据线3位;地址线13位PORT(inclock:IN STD_LOGIC;address:IN STD_LOGIC_VECTOR(11 downto 0);q:OUT STD_LOGIC_VECTOR(2 downto 0);end component;signalrgb:STD_LOGIC_VECTOR(2 downto 0);signalclk25MHz:std_logic;signalromaddr:STD_LOGIC_VECTOR(11 downto 0);signalhpos,vpos:std_logic_vector(9 downto 0);BEGIN r

13、omaddr clk25MHz,rgbin=rgb,hs=hs,vs=vs,r=r,g=g,b=b,hcntout=hpos,vcntout=vpos);i_rom:imgrom PORT MAP(inclock=clk25MHz,address=romaddr,q=rgb);END;,仿完动赂芳雏酱吕遍蔬稽力磺悬猎拾灸滚瞅炉粱亦澜煎管年纳钩蔗描轿熊电子系统设计实践电子系统设计实践,KX康芯科技,【例13-3】LIBRARY IEEEuse IEEE.std_logic_1164.all;useIEEE.STD_LOGIC_UNSIGNED.ALL;entity vga640480 ispor

14、t(clk:in STD_LOGIC;hs,vs,r,g,b:out STD_LOGIC;rgbin:in std_logic_vector(2 downto 0);hcntout,vcntout:out std_logic_vector(9 downto 0);end vga640480;architecture ONE of vga640480 issignal hcnt,vcnt:std_logic_vector(9 downto 0);beginhcntout 0);end if;end if;end process;process(clk)beginif(rising_edge(cl

15、k)thenif(hcnt=640+8)thenif(vcnt 0);end if;(接下页),肾塞滓拱佳涪寸庶川晚濒束担秩锯宣露蚕肆歪坷慢傅巢耙屎威擎灌喧琼汹电子系统设计实践电子系统设计实践,KX康芯科技,end if;end if;end process;process(clk)beginif(rising_edge(clk)thenif(hcnt=640+8+8)and(hcnt=480+8+2)and(vcnt480+8+2+2)then vs=0;else vs=1;end if;end process;process(clk)beginif(rising_edge(clk)then

16、if(hcnt640 and vcnt480)thenr=rgbin(2);g=rgbin(1);b=rgbin(0);else r=0;g=0;b=0;end if;end if;end process;end ONE;,谈鹏吮昏溅楞类跳针筐庇竿删耿烹粗篷胡顺灭刽蔗启街拄半历票宝耗展褂电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,1、步进电机细分驱动原理,2、步距细分的系统构成,图13-5 四相步进电机8细分电流波形,逐对黎圭荚券怯早总曹暇敦哗在斋札势弯剃冻珊皿厩切断拦焚屋俗壕衍谐电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技

17、,2、步距细分的系统构成,图13-6 步进电机细分驱动电路结构图,邵拇谐背尿孜鸦贵娜率踢膝嫂爱篷尽录瑰阅他麓卫雀腥侥先堵名阉其遏瘦电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13-7 步进电机PWM细分控制控制电路图,宁庇毙汁绦滋运屡嘿挟缠勋谢犹旋献弄匈坊匡擂锑傀财氨轮逞亲儿玩尚芥电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13-8 图13-7中的cmp3模块,唐侦绅俘耕推汞挽刮螺诺茫亩凉戚个禁铸备委资精喜尽给漠册感喻磺砾琳电子系统设计实践电子系统设计实践,13.3 步进

18、电机细分驱动控制,KX康芯科技,2、步距细分的系统构成,图13-9 PWM波形ROM存储器,晤补锋锡图师雅箍疑臂郸逸翁超致韦膘菌穿型兑犁碑恃他劈屿棱灼逆绍泵电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,3、细分电流信号的实现,4、细分驱动性能的改善,5、细工作时序分析,袍哎奔戈戎纶瑟融凶窿虚捣哭走迁杏氓盖促门午究届搀恤乍筏砰玛誓夹赣电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,图13-10 步进电机PWM仿真波形图(注意,图中clk与clk5交换),枉促哟么肠龚理又仟俞剁舅檬绽摘彻棚巧怂字垮脂型巨营系妊傀七此函湖电子系统设计实

19、践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,图13-11 展开后的步进电机PWM仿真波形图(注意,图中clk与clk5交换),作抛雇赢醇簧戏剩郴嘛今剩霖尧接椒曼碉贞寥杠唇跟妇皑峻躺尸夹递闰险电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,6、硬件验证,【例13-4】-元件CNT8LIBRARY IEEE;-8进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8 IS PORT(CLK:IN STD_LOGIC;CQ:OUT STD_LOGIC

20、_VECTOR(3 DOWNTO 0);END CNT8;ARCHITECTURE behav OF CNT8 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 THEN CQI=CQI+1;END IF;END PROCESS;CQ=CQI(4 DOWNTO 1);END behav;,芝朱搭臣窑肉瘟邢吭坠读牛肌娜赎辛言奖啄赋专颠悬葵誊亥乙扣涅莫监骚电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,【例13-5】-元件DEC2LIBRA

21、RY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Dec2 IS PORT(CLK:IN STD_LOGIC;A:IN STD_LOGIC_VECTOR(1 DOWNTO 0);D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE one OF Dec2 IS SIGNAL CQ:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN PROCESS(CQ)BEGIN CASE CQ IS WHEN 00=D D D D NULL;END CASE;END PROCESS;PROCESS(CLK

22、)BEGIN IF CLKEVENT AND CLK=1 THEN CQ=A;END IF;END PROCESS;END;,负掖败狞届哗阁咐稍挞蔫陆朵胜元咏璃劣幢支椿抬菇儡贪妈舟扑姓布滞撤电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,【例13-6】-元件CNT24LIBRARY IEEE;-24进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT24 IS PORT(CLK,EN,U_D:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(

23、4 DOWNTO 0);END CNT24;ARCHITECTURE behav OF CNT24 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK,EN,U_D)BEGIN IF EN=1 THEN CQI=CQI;ELSIF CLKEVENT AND CLK=1 THEN IF U_D=1 THEN CQI=CQI+1;ELSE CQI=CQI-1;END IF;END IF;END PROCESS;CQ(4 DOWNTO 0)=CQI;END behav;,札僧玲柑禽臃睬拆护煎森卷凝驼催摧竿斗驶袱闸督途榔么傍读汝娠墒

24、诌进电子系统设计实践电子系统设计实践,13.3 步进电机细分驱动控制,KX康芯科技,6、硬件验证,【例13-7】-5位地址线ROM3中的数据:pwm_1.mif,注意,实用每一组数据要占一行WIDTH=16;DEPTH=32;ADDRESS_RADIX=HEX;DATA_RADIX=HEX;CONTENT BEGIN0:f000;1:f600;2:f900;3:fc00;4:ff00;5:cf00;6:9f00;7:6f00;8:0f00;9:0f60;a:0f90;b:0fc0;c:0ff0;d:0cf0;e:0af0;f:06f0;10:00f0;11:00f6;13:00f9;13:00

25、fc;14:00ff;15:00cf;16:009f;17:006f;18:000f;19:600f;1a:900f;1b:c00f;1c:f00f;1d:f00c;1e:f009;1f:f006;END;,鸥必侯贰鸦馅芝吵抢谗时抿夷阮疏郴朔瓮豁缔剥瞅啤胸紊役侨郝伟万该鲸电子系统设计实践电子系统设计实践,13.4 直流电机的PWM控制,KX康芯科技,直流电机控制电路主要由三部分组成:,FPGA中PWM脉宽调制信号产生电路,FPGA中的工作/停止控制和正/反转方向控制电路,由功率放大电路和H桥组成的正反转功率驱动电路,感斟悔蚤非舆驰捡脾捕运斯制态澈赁散师波籍抹敛内画殷淮滑惰羞误与索电子系统设计实

26、践电子系统设计实践,13.4 直流电机的PWM控制,KX康芯科技,图13-12 FPGA直流电机驱动控制电路,锥哀指舷跳填靶吐底捍侄狙氧骨忌逛垦负谴钝踌妹缆途云途盼甄酉恭澳泛电子系统设计实践电子系统设计实践,13.4 直流电机的PWM控制,KX康芯科技,图13-13图13-14中的cmp3模块,塔列煌西儡窗妄次恩闽所孵铺咯砖茹榷饲远八深遇娟蚌挎渊院擎幸诊赤湛电子系统设计实践电子系统设计实践,13.4 直流电机的PWM控制,KX康芯科技,图13-14 FPGA直流电机控制模块,扒判烩气裕获焙烹镣谭芬新甥桥徊菌诱愈剐肉洱敷揍嗽桩口走断瘸依幢射电子系统设计实践电子系统设计实践,13.4 直流电机的P

27、WM控制,KX康芯科技,【例13-8】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DECD IS PORT(CLK:IN STD_LOGIC;DSPY:OUT STD_LOGIC_VECTOR(1 DOWNTO 0);D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END;ARCHITECTURE one OF DECD IS SIGNAL CQ:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGIN PROCESS(CQ)BEGIN CASE

28、 CQ IS WHEN 00=D D D D NULL;END CASE;END PROCESS;PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 then CQ=CQ+1;END IF;END PROCESS;DSPY=CQ;END;,士治孔迄摇膊进诀亥慨翔妆琅兢驶渠教高纬肚撬忘塞颠养播舷挣疥楚挂蛔电子系统设计实践电子系统设计实践,13.4 直流电机的PWM控制,KX康芯科技,【例13-9】LIBRARY IEEE;-4进制计数器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY

29、CNT5 IS PORT(CLK:IN STD_LOGIC;AA:OUT STD_LOGIC_VECTOR(4 DOWNTO 1);END CNT5;ARCHITECTURE behav OF CNT5 IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK)BEGIN IF CLKEVENT AND CLK=1 then CQI=CQI+1;END IF;END PROCESS;AA=CQI(4 DOWNTO 1);END behav;,括阳提锭育评啊扑贯镐冈葛啪见窗阀栋圃垂之牺峨肇永杠驮贾掘隐酒敬皱电子系统设计实践电子系统设

30、计实践,习 题,KX康芯科技,13-1.详述VGA显示控制原理。13-2.试在通用异步收发器UART中加入FIFO,以缓冲接收,发生数据。13-3.把VGA控制器模块与UART模块连接起来,实现VGA显示图像的动态更新。13-4.简述步进电机转角细分的工作原理,有哪些方法可以实现步进转角细分控制?13-5.步进电机相电流的细分与步进转角细分是一回事吗?有何区别?要提高步进电机转角细分的控制精度,可以采取哪些方法?,形讹拢浸挺侄咳御笼臀儡唾嗅尸釉握鄙资昆映艰泄痊盟慈峙绿急椭辫敬巢电子系统设计实践电子系统设计实践,习 题,KX康芯科技,13-6.要使步进电机按预先设定的角度转动,控制电路应如何设计

31、?13-7.有哪些方法可以对直流电机进行调速控制?如何用FPGA对直流电机进行调速控制?13-8.若要使电机转速设置更精确,可以采取哪些措施,控制电路应如何修改?13-9.要使直流电机精确地达到设定转速,可以通过检测电机的转速,采用速度闭环控制。如何通过实验台上的光电检测装置检测电机转速,如何用FPGA实现速度闭环控制?,磺氟辽哪痴忿形搬返拔桥匙挫婉艾雪受殖沼斑淤货哼竟评骗汾弱价衍踢钧电子系统设计实践电子系统设计实践,实 验 与 设 计,KX康芯科技,13-1.VGA彩条信号显示控制器设计(1)实验目的:学习VGA图像显示控制器的设计。(2)实验内容1:根据图13-3和程序13-1,完成VGA

32、彩条信号显示的验证性实验。根据图13-3引脚锁定:R、G、B分别接PIO60、PIO61、PIO63;HS、VS分别接PIO64、PIO65;CLK接clock9(13MHz),MD接PIO0,控制显示模式。接上VGA显示器,选择模式5,下载COLOR.SOF;控制键1,观察显示器工作(如果显示不正常,将GW48系统右侧开关拨以下,最后再拨回到“TO_MCU”)。(3)实验内容2:设计可显示横彩条与棋盘格相间的VGA彩条信号发生器。(4)实验内容3:设计可显示英语字母的VGA信号发生器电路。(5)实验内容4:设计可显示移动彩色斑点的VGA信号发生器电路。,酞妆撵芜妨屈吵凰镣会沏应抱泳膛侄箍繁吮

33、丸酋震佰蝎寄贩具馈各妒运朱电子系统设计实践电子系统设计实践,实 验 与 设 计,KX康芯科技,13-2.VGA图像显示控制器设计(1)实验内容1:根据图13-4和程序例13-2/3,设计与生成图象数据;根据例13-2中imgrom元件的接口,定制放置图象数据的ROM。(2)实验内容2:硬件验证例13-2/3,选择模式5,引脚连接方式仍同图13-4,只是时钟输入clk50MHz接clock0,选择频率50MHz的时钟信号。在EDA系统上接上VGA显示器,下载后观察图形显示情况。(3)实验内容3:为此设计增加一个键,控制输出图象的正色与补色。(4)实验内容4:为了显示更大的图象,用外部ROM取代F

34、PGA的内部ROM,即imgrom元件,电路结构参考图13-4,引脚锁定参考电路结构图NO.5图中的ROM 27C020/27C040与FPGA的引脚连接情况。示例程序可下载./VGA88/vgarom.sof,或./VGAbb/vgarom.sof,clock0接50MHz,GW48 EDA系统左下角的拨码开关的“ROM使能”拨向下(如果显示不正常,将EDA系统右侧开关拨以下,最后再拨回到“TO_MCU”)。选择模式5,键1控制图象的正色与补色显示。注意,实验结束后将拨码开关的“ROM使能”拨向上还原。,柯丸艾虾桃催膝逼宏拙镐易圆骤熬噎宅逻鹰闯泅娘歧腐隅番萝沁茂秧盯骨电子系统设计实践电子系统

35、设计实践,实 验 与 设 计,KX康芯科技,13-3.步进电机细分驱动控制实验(1)实验目的:学习用FPGA实现步进电机的驱动和细分控制。(2)实验内容1:完成以图13-7所示的步进电机控制电路的验证性实验。首先引脚锁定:步进电机的4个相:Ap、Bp、Cp、Dp(对应程序中的Y0、Y1、Y2、Y3)分别与PIO65、PIO64、PIO63、PIO62(见GW48主系统左侧的标注)相接。CLK0接clock0,选择4Hz;CLK5接clock5,选择32768Hz;S接PIO6(键7),控制步进电机细分旋转(1/8细分,2.25度/步),或不细分旋转(18度/步);U_D接PIO7(键8),控制

36、旋转方向。用短路帽将系统左侧的“步进允许(JM0)”短路(注意,电机实验结束后,短路帽插回“禁止”端!选择模式No.5,用Quartus下载step_1c3中的step_a.sof到EP1C3中,观察电机工作情况。给出电机的驱动仿真波形,与示波器中观察到的电机控制波形进行比较。,它剔悄拇穗徒福氨猪雍靛式烹舵讣弊湿敦爸葡果吝约峦颠依谍机记挫搁酌电子系统设计实践电子系统设计实践,实 验 与 设 计,KX康芯科技,13-3.步进电机细分驱动控制实验(3)实验内容2:设计2个电路:1、要求能按给定细分要求,采用PWM方法,用FPGA对步进电机转角进行细分控制(利用QuartusII的EAB在系统编辑器

37、实时在系统编辑调试ROM3中的细分控制数据);2、用FPGA实现对步进电机的匀加速和匀减速控制。(4)实验内容3:为使步进电机能平稳地运行,并尽快从起点到达终点,步进电机应按照以下控制方式运行:启动匀加速匀速匀减速停止。当给定终点位置(转角)以后,试用FPGA实现此控制。(5)实验内容4:步进电机在步距角细分的基础上,试通过修改控制电路对步距角进一步细分。,彤瑟胰杜号鞍弧糠姐窃零拎汛悔络煌枝澡事蠕奶茬肢始棒眯肥证帖恨翟招电子系统设计实践电子系统设计实践,实 验 与 设 计,KX康芯科技,13-4.直流电机PWM控制实验(1)实验目的:学习直流电机PWM的FPGA控制。掌握PWM控制的工作原理,

38、对直流电机进行速度控制、旋转方向控制、变速控制。(2)实验内容1:完成以图13-14所示的直流电机控制电路的验证性实验。首先引脚锁定:直流电机模块中的MA2、MA1(对应程序中的Z、F)分别与EP1C3的PIO60/61相接,用于控制直流电机;测直流电机转速的MA-CNT端接PIO66,即CNTT端(见主系统左侧的标注);用短路帽分别将主系统左侧的“直流允许(JM1)”和“计数允许(JM2)”短路;CLK5接clock5,选择32768Hz;F1HZ接clock2,选择1Hz,作为转速测量的频率计的门控时钟;键1(PIO0,接Z_F)控制旋转方向;键2(PIO1,D_STP)控制旋转速度。连续

39、按动此键时,由数码管7显示0、1、2、3指示4个速度级别;转速由数码管4、3、2、1显示。选择模式No.5,用Quartus下载step_1c3中的step_a.sof到EP1C3中,观察电机工作情况。给出电机的驱动仿真波形,与示波器中观察到的电机控制波形进行比较。(3)实验内容2:实现直流电机的闭环控制,旋转速度可设置。(4)实验内容3:说明图13-14中的去抖动电路的工作原理,为了加强去抖动效果,改进图13-14中的去抖动电路和工作时钟频率的选择,如设计一个含4个D触发器的去抖动电路,实测它的性能。并说明此电路的工作时钟频率与被测信号频率的关系。,吃范齿躯瞅秉赦啼毗侵宏灰丑册锄躯恼是允纺佳谰奎俊拐串彰咕哼档囚赢电子系统设计实践电子系统设计实践,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号