MAXplusⅡ实验之入门教学.ppt

上传人:牧羊曲112 文档编号:5439363 上传时间:2023-07-07 格式:PPT 页数:23 大小:573.50KB
返回 下载 相关 举报
MAXplusⅡ实验之入门教学.ppt_第1页
第1页 / 共23页
MAXplusⅡ实验之入门教学.ppt_第2页
第2页 / 共23页
MAXplusⅡ实验之入门教学.ppt_第3页
第3页 / 共23页
MAXplusⅡ实验之入门教学.ppt_第4页
第4页 / 共23页
MAXplusⅡ实验之入门教学.ppt_第5页
第5页 / 共23页
点击查看更多>>
资源描述

《MAXplusⅡ实验之入门教学.ppt》由会员分享,可在线阅读,更多相关《MAXplusⅡ实验之入门教学.ppt(23页珍藏版)》请在三一办公上搜索。

1、MAX+plus开发软件,MAX+plus设计向导,一、项目建立 1建立设计文件夹 2启动MAX+plus10.2,单击“开始”“程序”Altera MAX+plus10.2,随即出现MAX+plus管理窗口。,单击标题栏的File菜单,从中选择ProjectName菜单,出现项目名称对话框。在 Directories 区域内,双击设计者为本次设计项目所建的目录(文件夹)。然后在Project Name的空白区,输入操作者拟定的项目名。项目名称的命名规则是:名称可由字母、数字和下划线组成。在本例中,文件夹为Example,项目名为Count,完成后,单击【OK】按钮,MAX+plus返回管理器

2、窗口。,3建立项目,二、编辑文件 1建立图形输入文件 单击FileNew选项,MAX+plus支持四种方式:Graphic Editor file是图形输入方式;Symbol Editor file方式可以编辑用户生成的符号文件;Text Editor file是文本输入方式,支持如VHDL、AHDL和Verilog_HDL硬件描述语言;Waveform Editor file是波形输入方式。,打开MAX+PLUS II,输入设计项目和存盘,进入MAX+plusII,建立一个新的设计文件,使用原理图输入方法设计必须选择打开原理图编辑器,新建一个设计文件,图形编辑器窗口简介,选择Graphic

3、Editor File选项后,接受gdf的默认扩展名,单击【OK】按钮确认。进入图形编辑器的编辑环境。,左侧是画图工具按钮,其中皮筋拖动功能打开时,连线像皮筋一样可以伸缩。打开此功能移动图标时,可以判断接线的连接情况。,为了使电路图更清晰,可以给图形编辑器的图形编辑区设置网格线。设置网格线的方法是在标题栏中单击OptionsShow Guidelines选项,这时该项前面会出现一个对钩,表明当前处于显示网格线状态。如果要取消网格线,可再次作上述操作,把Show Guidelines选项前面的对钩去掉,窗口立即看不到网格线了。另外,还可以通过对单击OptionsGuideline Spacing

4、菜单,弹出网格线间距的设置对话框,输入适当的数字并确认即设置网格线的疏密。,2调入元件符号及引脚 用鼠标左键在图形编辑器中图形编辑区的任意位置上双击,即可弹出符号输入对话框。,在这里用鼠标右键产生此窗,并选择“Enter Symbol”输入元件,元件输入对话框,也可在这里输入元件名,如:2输入与门AND2;输出引脚OUTPUT,基本硬件库,这是基本硬件库中的各种逻辑元件,输入元件有两种方式:一种是在Symbol Name对话框中直接输入元件名称;一种是调用MAX+plus库文件中的元件。这两种方式都必须了解每个元件的名称、用法乃至特性,以便在设计中正确地使用。MAX+plus为实现不同的逻辑功

5、能提供了库文件,每个库对应一个目录。,74系列逻辑库,如在Symbol Name中输入74161,单击【OK】按钮。然后在图形编辑区单击鼠标左键,即输入一个74161芯片。按照同样的方法依次输入NAND2、VCC、GND、INPUT和OUTPUT。,如果要了解某一个元件的性质,可单击工具栏的按钮,再单击要了解的元件。例如想知道74161的用法,进行上述操作后。,3元件符号的复制和移动 可单击准备复制的元件或用鼠标对该元件画矩形框(定位于某一点,按下鼠标左键并向元件对角方向拖动),元件的轮廓变成红色的粗实线,表示已经选中该元件,然后按住Ctrl键,对该元件拖动,即可拖出一个被复制的元件。元件需要

6、移动时,可用鼠标拖动图形编辑区中的元件图形符号,元件就能随着鼠标的滑动而任意移动。左键释放,则图形元件定位。若要同时移动多个元件,可以用鼠标左键拉出一个大的矩形框,把要移动的元件都包围起来。这样多个元件同时被选中,就可以一起被移动了。,4连接各元件符号(电路连线)首先将各元件符号移动到合适的位置,以易于连线。将鼠标移至某一元件符号的外轮廓边缘的引脚处,鼠标箭头会自动变成十字形状。此时可以按住左键拖动,直至另一个需要连接的元件输入或输出引脚处,松开左键。于是,这两个元件引脚间就会出现红颜色的连线。红色表示它是“选中”的,可以移动、删除和复制。进行任何其他的鼠标操作都将使连线变成黑色(固化)。画折

7、线时,可在转折处松开鼠标左键一下再按住,继续拖动即可。,5元件命名 1)管脚名称 所有的输入输出管脚在输入到编辑区之初,均被系统默认命名为PIN_NAME。注意:管脚不能使用默认的名称,必须重新命名。2)节点名称 3)总线名称,6保存 单击Filesave菜单,以Count为文件名,以gdf为扩展名,保存当前文件。注意:文件名与项目名必须相同且在同一个文件夹下。在 有些版本中,保存文件目录的路径字符串中不能包含中文字符。,三、编译 1选择器件 单击AssignDevice选项,打开器件选择对话框。单击Device Family区的下拉按钮,进行器件选择,本例中选择ACEX1K系列;然后在Dev

8、ices区中选择EP1K30TC144-1可编程逻辑器件,选择完毕后,单击【OK】按钮。如果不对适配器件的型号进行选择,该软件将自动选择适合本电路的器件进行编译适配。,2编译 单击MAX+plusCompiler选项,即可打开编译器。另外,也可以单击FileProjectSave&Compiler(保存文件同时编译)选项,系统将把当前设计的若干文件存盘后,自动弹出编译器窗口,单击【Start】按钮,编译器就开始对当前设计进行编译。,编译完成后,系统弹出错误和警告信息统计对话框,单击【确定】,四、仿真 1建立波形输入文件 单击FileNew菜单,打开新建文件类型对话框,选择其中的Waveform

9、 Editor File选项,扩展名为scf,然后单击【OK】按钮。,2加入管脚 单击标题栏的NodeEnter Nodes from SNF选项(或在空白处单击右键)。,单击【List】按钮,可在Available Nodes&Groups区中,看到在当前设计中所使用的输入、输出信号管脚名称。这些信号管脚蓝色高亮度显示,表示被选中。单击【=】按钮,可将这些信号选择到Selected Nodes&Groups区,表示可对这些信号进行观测。单击【OK】按钮,MAX+plus就自动进入了编辑输入信号波形的状态。,单击FileSave选项,将此波形文件按默认名存盘。设计者接下来的任务就是按照对所设计

10、电路的功能、特性的预期,构思一个特定的工作状态来测试系统的性能。因此,要先确定输入信号波形。,3编辑前设置 单击标题栏的FileEnd Time菜单,设定仿真时间。,在Time旁边的空白框中输入适当数值(如1000ns,时间单位可以为 ns、us、ms和s)。然后单击【OK】按钮。,单击标题栏的OptionsSnap to Grid(对齐网格)去掉前面的对勾,以方便设置输入电平。,4波形输入,选中 输入端,如:,然后点击窗口左侧的时钟信号源图标,出现如图所示的对话框。,例如:选择初始电平为“0”,时钟周期为“200ns”,倍数为“1”(时钟周期倍数只能为整数倍),单击 OK 确认。,按同样的方

11、法为其他所有的输入端添加激励信号。单击Filesave菜单,以Count为文件名,以scf为扩展名,保存当前文件。,5仿真分析 1)单击MAX+plusSimulator选项,打开仿真分析器。,可在Start time和End time两个设置框内,输入数字选择分析的起、止时间。当选择了Check Outputs选项时,可进行两次仿真分析的结果对比。单击【Start】按钮,系统开始分析,进程在窗口下面的进程显示器中以红色进程条显示。完成仿真分析后,单击【Open SCF】按钮,打开波形文件。,按照设计要求,分析输入与输出波形的对应关系,可以明显的看到输出波形有延时,延时的长短与选择的器件有关。为观测方便,可将计数输出Q3,Q2,Q1,Q0作为一个组来观测,可将鼠标移到Name区的Q3上,按住鼠标左键并往下拖拽到Q0处,释放左键,选中信号Q3Q0。在选中区域(黑色)单击鼠标右键,打开一个下拉菜单。从中选择Enter Group项。,按照观测的需要,在Group Name区输入Q3.0的组名称定义,共有“二进制”、“十进制”、“八进制”和“十六进制”四种显示形式可供选择,本例选择十进制。单击【OK】按钮关闭组设置对话框后会出现波形图。,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号