QuartusII使用-原理图输入步骤.ppt

上传人:牧羊曲112 文档编号:5446217 上传时间:2023-07-07 格式:PPT 页数:22 大小:992.50KB
返回 下载 相关 举报
QuartusII使用-原理图输入步骤.ppt_第1页
第1页 / 共22页
QuartusII使用-原理图输入步骤.ppt_第2页
第2页 / 共22页
QuartusII使用-原理图输入步骤.ppt_第3页
第3页 / 共22页
QuartusII使用-原理图输入步骤.ppt_第4页
第4页 / 共22页
QuartusII使用-原理图输入步骤.ppt_第5页
第5页 / 共22页
点击查看更多>>
资源描述

《QuartusII使用-原理图输入步骤.ppt》由会员分享,可在线阅读,更多相关《QuartusII使用-原理图输入步骤.ppt(22页珍藏版)》请在三一办公上搜索。

1、Quartus II 使用说明,原理图输入方式,一、Quartus II工程的创建,点击File/New Project Wizard,在该对话框中依次指定工程目录、工程名和顶层文件名,注意:工程名和顶层设计名必须相同,如果想要对工程的设置进行修改,可以使用“Assignments”菜单下的“Settings”对话框,“Settings”对话框,二、新建文件,点击新建文件快捷图标 或主菜单Files/New命令,文件打开与关闭,打开文件:点击快捷图标 点击项目导航器Files窗口中的文件名 执行主菜单File/Open命令 器件设计源文件必须在项目工程下打开关闭文件:点击文件右上方“”符或主菜

2、单File/Close命令,启动Quartus II后,点击File/Open Project,打开一个已经创建的工程,(*.qpf),三、设计输入原理图设计输入,宏功能函数(megafunctions)库中包含很多种可直接使用的参数化模块,基本单元符号(Primitives)库中包含所有Altera公司的基本单元,其他库(Others)中包含与MAX+PLUS II兼容的所有中规模器件,如常用的74系列符号。,(1)连线 符号之间的连线包括信号线和总线两种。如果需要连接的是两个端口,则将鼠标拖动到其中一个端口上,此时,鼠标指示符自动变成“+”形状,一直按住鼠标并且拖动到第二个端口处,然后放开

3、左键便在两个端口之间画出了一条连线。(2)放置引脚 引脚包括输入,输出和双向三种类型,放置方法与放置符号的方法基本相同,双击图形编辑窗口的空白处,然后在Symbol对话框的符号框中键入引脚名,或者可以在常用符号库的引脚库中选择,最后单击OK按钮,相应的引脚就会显示在图形编辑窗口中。(3)命名引线和引脚 引线命名方法可以按下面的方法进行:在需要命名的引线上单击鼠标,此时引线将处于被选中状态,然后输入引线名称。一般来说,对单个信号的命名,可以用字母、字母组合或字母与数字组合的方法,如D0、D1、clk、rst等;对于n位总线的命名,可以用Dn-1.0形式,其中D表示总线名,也可以用字母或字母组合的

4、形式来表示,以方便记忆。,四、编译,单击“Assignments”菜单下的“Device”,选择Processing/Start compilation命令启动全编译过程,五、仿真,1、创建仿真波形文件,Quartus II软件的波形编辑器默认的仿真结束时间为1s,通过选择“Edit/End Time”命令,在“Time”框内修改仿真结束时间,单位可以是s、ms、s、ns、ps,2、在矢量波形文件中加入输入、输出结点,在波形编辑器左边“Name”列的空白处单击鼠标右键,在弹出的右键菜单中通过选择“Insert Node or Bus.”命令,然后在弹出的“Insert Node or Bus”

5、对话框中单击“Node Finder.”按钮,在出现的“Node Finder”界面中,从“Filter”列表中选择“Pins:all”,在“Named”栏中键入“*”,然后单击List按钮,则在“Nodes Found”栏将列出设计中的所有节点名。,3、编辑输入节点波形,时钟节点波形的输入,在时钟节点名(如CLK)上单击鼠标右键,然后从弹出的快捷菜单中选择“ValueClock”命令,总线信号波形的输入,在总线节点名上单击鼠标右键,然后选择“Value/Count Value”命令,便可设置总线为计数输入;同时也可以通过选择“Value/Arbitrary Value”命令,设置总线为任意固

6、定值输入。,任意信号波形的输入,可以用鼠标拖动的方法在波形编辑区中选中需要编辑的区域,然后在选中的区域上通过单击鼠标右键,在“Value”菜单中选择需要设置的波形。,波形编辑工具条,4、仿真,选择“Processing/Simulation Tool”命令,便可启动仿真器,如果要完成功能仿真,则在仿真类型中选择“Functional”选项,在仿真开始前先点击“Generate Functional Simulation Netlist”命令,产生功能仿真网表文件。,如果要完成时序仿真,则在仿真类型中选择“Timing”选项,在仿真前面必须编译设计,产生时序仿真的网表文件。,查看仿真波形,实验一:设计一个3-5译码器,实验目的(1)熟悉Quartus II软件。(2)熟悉Quartus II软件的基本操作方法:设计输入、设计编译及功能仿真。实验内容 用74138设计一个3-5译码器。实验要求(1)熟悉本次实验的内容。(2)用图形输入方式完成电路设计。(3)完成设计输入、设计编译及仿真。(4)分析器件的延时特性。选做实验(1)用两片74138扩展成4-16译码器,进行功能仿真及时序仿真验证;(2)熟悉译码器7442、7448,进行功能仿真及时序仿真验证;(3)用两片74148扩展成16-4编码器,进行功能仿真及时序仿真验证。,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号