quartus原理图输入与仿真方法.ppt

上传人:小飞机 文档编号:5446235 上传时间:2023-07-07 格式:PPT 页数:40 大小:697.50KB
返回 下载 相关 举报
quartus原理图输入与仿真方法.ppt_第1页
第1页 / 共40页
quartus原理图输入与仿真方法.ppt_第2页
第2页 / 共40页
quartus原理图输入与仿真方法.ppt_第3页
第3页 / 共40页
quartus原理图输入与仿真方法.ppt_第4页
第4页 / 共40页
quartus原理图输入与仿真方法.ppt_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《quartus原理图输入与仿真方法.ppt》由会员分享,可在线阅读,更多相关《quartus原理图输入与仿真方法.ppt(40页珍藏版)》请在三一办公上搜索。

1、第2章 Quartus原理图输入设计法入门,Quartus原理图输入设计法学习:,建立工程项目(工程目录、名称和选择合适器件)编辑设计图形文件(放置元件、连线、设定输入输出管脚名称)编译设计图形文件(检查电路是否有错误)时序仿真设计文件(得到仿真波形验证设计结果)生成元件符号,2.1 Quartus II原理图输入法,Quartus II设计软件界面如图1所示,图1 Quartus II 软件界面,一、Quartus II设计流程介绍 与Max+plus软件一样,Quartus II软件的开发流程也概括为设计输入、设计编译、设计仿真、和设计下载等过程,下面分别加以描述。1新建一个工程 利用Qu

2、artus II提供的新建工程指南建立一个工程项目。1)选择菜单命令File|New Project Wizard 将弹出如图2.2所示对话框。,2.1 Quartus II原理图输入法,可先在电脑中建立工程项目存放的目录如:D:EDA_bookcodeChapter2BiJiaoQi,图2.2 New Project Wizard 对话框,图2.3 工程项目基本设置P39,一、Quartus II设计流程介绍,2)选择项目存放目录、填写项目名称,注意项目顶层设计实体名称必须和项目名称保持一致。,2.1 Quartus II原理图输入法,一、Quartus II设计流程介绍 3)完成上述操作后

3、,按Next按钮将会弹出加入文件对话框,如图2.4所示。,图2.4 加入文件对话框,2.1 Quartus II原理图输入法,可以在File空白处选择添入已存在的设计文件加入到这个工程中,也可以使用User Library Pathnames按钮把用户自定义的库函数加入到工程中使用,完成后按Next按钮进入下一步。,一、Quartus II设计流程介绍 4)如图2.5所示是选择可编程逻辑器件对话框,选Yes为手动选择需要的器件,选No则由编译器自动选择。,图2.5 选择器件对话框,选择Cyclone系列,2.1 Quartus II原理图输入法,选择器件的封装形式、引脚数目和速度级别约束可选器

4、件的范围。,2.1 Quartus II原理图输入法,一、Quartus II设计流程介绍 5)图2.6 询问是否选择其他EDA工具,图2.6 选择其他EDA工具,一、Quartus II设计流程介绍 6)显示由新建工程指南建立的工程文件摘要,在界面顶部标题栏将显示工程名称和存储路径,如图2.7所示。,图2.7 新建工程摘要对话框,2.1 Quartus II原理图输入法,2.1 Quartus II原理图输入法,二、编辑设计图形文件1.建立原理图文件,图2.8 执行File-New命令,2.1 Quartus II原理图输入法,1.建立原理图文件 弹出新建文件对话框如图2.9,AHDL文本文

5、件,流程图和原理图文件,网表文件,在线系统文件,Verilog HDL文本文件,VHDL文本文件,图2.9 新建文件对话框,2.1 Quartus II原理图输入法,1.建立原理图文件 执行File-Save as命令,把它另存为文件名是BiJiaoQi的原理图文件,后缀名为.bdf,选中后使该文件添加到刚建立的工程中去,图2.10 将文件添加到工程中,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 如图2.11,右侧空白处就是原理图的编辑区,图2.11 图形编辑界面,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 比较器的完整原理图,2.12 比较器的原理

6、图,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 1)元件的选择与放置双击鼠标的左键,将弹出Symbol对话框,或单击鼠标右键,在弹出的选择对话框中选择Insert-Symbol,也会弹出该对话框。如图2.13所示P44,基本元件库,Logic子库包含与门、或门和非门,重复-插入模式选否,作为流程图模块插入符号选否,图2.13 Symbol对话框,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 1)元件的选择与放置,图2.16 放置元件后的界面图,其它元件可以通过复制和选择重新插入新元件得到,2.1 Quartus II原理图输入法,2.编辑输入原理图文件

7、 1)元件的选择与放置,打开pin引脚子库,选择input管脚和插入逻辑元件一样插入原理图中,图2.21 放置管脚,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 1)元件的选择与放置,元件放置完成,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 2)连接各个元件符号把鼠标移置一个input元件连接处,单击鼠标左键,移到要与之相连的非门元件的连接处,松开鼠标即可连接两个要连的元件如图2.24所示,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 3)设定各输入、输出引脚名双击任意一个input元件,将会弹出图2.25所示的引脚属性编辑对话框,

8、图2.25 引脚属性编辑对话框,2.1 Quartus II原理图输入法,2.编辑输入原理图文件 3)设定各输入、输出引脚名编辑好所有引脚后保存,2.1 Quartus II原理图输入法,三、编译设计图形文件执行Processing-Start Compilation,如图2.27进行编译,图2.27 执行编译命令,图2.29 输出信号对输入信号延时时间报告,编译结束后会出现错误和警告提示,2.1 Quartus II原理图输入法,四、时序仿真设计文件1、新建用于仿真的波形文件执行File-New命令,可建立和编辑的文件有三类:器件设计文件Device Design Files、软件文件Sof

9、tware Files和其他文件Other Files。,波形文件,图2.30 新建波形文件,2.1 Quartus II原理图输入法,四、时序仿真设计文件1、新建用于仿真的波形文件,鼠标在该处单击右键,出现2.32所示菜单,选择Insert Node or Bus命令,图2.32,2.1 Quartus II原理图输入法,四、时序仿真设计文件1、新建用于仿真的波形文件,单击OK后出现波形编辑界面,2.1 Quartus II原理图输入法,四、时序仿真设计文件2、设置仿真时间,图2-37 波形编辑界面,2.1 Quartus II原理图输入法,四、时序仿真设计文件2、设置仿真时间执行Edit-

10、End Time命令,设置合适的时间,2.1 Quartus II原理图输入法,四、时序仿真设计文件2、设置仿真时间执行Edit-Grid Size命令,设置合适的时间,2.1 Quartus II原理图输入法,四、时序仿真设计文件3、设置输入信号波形先用鼠标左键单击并拖动鼠标选择要设置的区域,单击工具箱中按钮Forcing High(1)则该区域变为高电平。,图2-45 设置后的波形,2.1 Quartus II原理图输入法,四、时序仿真设计文件4、进行功能仿真设置输入信号后保存文件,文件名默认,执行Processing-Start simulation命令,进行仿真,图2-46执行编译命令

11、,2.1 Quartus II原理图输入法,四、时序仿真设计文件4、进行功能仿真,2.1 Quartus II原理图输入法,五、生成元件符号执行File-Create/Update-Create Symbol File for Current File命令将本设计电路封装成一个元件符号,供以后在原理图编辑器下进行层次设计时调用。,2.1 Quartus II原理图输入法,五、生成元件符号生成的符号存放在本工程目录下,文件名BiJiaoQi,文件后缀名.bsf,2.2 Quartus II器件编程,一、编程硬件与编程模式二、器件设置和引脚的锁定三、编程下载设计文件,2.2 Quartus II器

12、件编程,一、编程硬件与编程模式,Programmer具有四种编程模式:,被动串行编程模式(PS Mode)、JTAG编程模式主动编程模式(AS Mode)和插座内编程模式(In-Socket),调试时使用,烧写到专用配置芯片中,1、JTAG编程下载模式 此方式的操作步骤主要分为3步:选择Quartus主窗口的Tools菜单下的Programmer命令或点击 图标,进入器件编程和配置对话框。如果此对话框中的Hardware Setup后为“No Hardware”,则需要选择编程的硬件。点击Hardware Setup,进入Hardware Setup对话框,下页图所示,在此添加硬件设备。配置编

13、程硬件后,选择下载模式,在Mode中指定的编程模式为JTAG模式;确定编程模式后,单击 添加相应的counter.sof编程文件,选中counter.sof文件后的Program/Configure选项,然后点击 图标下载设计文件到器件中,Process进度条中显示编程进度,编程下载完成后就可以进行目标芯片的硬件验证了。,2.2 Quartus II器件编程,编程下载对话框,1、JTAG编程下载模式,2.2 Quartus II器件编程,AS主动串行编程式的操作步骤如下:(1)选择Quartus主窗口Assignments菜Device命令,进入 Settings对话框的 Device页面进行

14、设置,如右图。,2、AS主动串行编程模式,2.2 Quartus II器件编程,2、AS主动串行编程模式(2)选择Quartus主窗口的Tools菜单下的Programmer命令或点击图标,进入器件编程和配置对话框,添加硬件,选择编程模式为Active Serial Program;(3)单击 添加相应的counter.pof编程文件,选中文件后的Program/Configure、Verify和Blank Check项,单击图标 下载设计文件到器件中,Process进度条中显示编程进度。下载完成后程序固化在EPCS中,开发板上电后EPCS将自动完成对目标芯片的配置,无须再从计算机上下载程序。

15、,2.2 Quartus II器件编程,使用Quartus软件的MegaWizard Plug-In Manager中的宏功能模块可以帮助用户调用Quartus内部已有的IP(知识产权)核来完成一些复杂系统的设计,并可以方便的对调用的IP核的参数进行修改。下面以一个调用lpm_counter宏功能模块来实现一个十六进制的加法同步计数器为例,简单介绍宏功能模块的使用。设计开始前新建立一个名为counter16的工程,在工程中新建一个新的bdf文件,选择Quartus主窗口的Tools菜单下的MegaWizard Plug-In Manager命令,进入MegaWizard Plug-In Manager对话框第一页,然后依此进行参数的设定,2.2 Quartus II器件编程,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号