VHDL语言结构体的描述方式.ppt

上传人:小飞机 文档编号:5452221 上传时间:2023-07-08 格式:PPT 页数:12 大小:258.50KB
返回 下载 相关 举报
VHDL语言结构体的描述方式.ppt_第1页
第1页 / 共12页
VHDL语言结构体的描述方式.ppt_第2页
第2页 / 共12页
VHDL语言结构体的描述方式.ppt_第3页
第3页 / 共12页
VHDL语言结构体的描述方式.ppt_第4页
第4页 / 共12页
VHDL语言结构体的描述方式.ppt_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《VHDL语言结构体的描述方式.ppt》由会员分享,可在线阅读,更多相关《VHDL语言结构体的描述方式.ppt(12页珍藏版)》请在三一办公上搜索。

1、1,3 VHDL语言结构体的描述方式,VHDL语言的结构体可以用不同的语句类型和描述方式来表达电路所期望的逻辑行为,而对于相同的逻辑行为,可以有不同的语句表达方式。,VHDL语言结构体的描述方式,在VHDL语言中,这些描述方式或建模方式称为VHDL语言的描述风格。,常用的描述方式主要有:行为描述 数据流描述 结构描述 混合描述,2,行为描述依据设计实体的功能或算法对结构体进行描述,不需要给出实现这些行为的硬件结构,只强调电路的行为和功能。在结构体中,行为描述主要用函数、过程和进程语句,以功能或算法的形式来描述数据的转换和传送。,VHDL语言结构体的描述方式,3.1 结构体的行为描述,3,【例3

2、.1】试用行为描述完成二选一数据选择器的设计。,VHDL语言结构体的描述方式,设数据输入为d0和d1、选择输入为s,输出为y。,程序清单:ENTITY mux21 IS PORT(d1,d0:IN STD_LOGIC;s:IN STD_LOGIC;y:OUT STD_LOGIC);END mux21;ARCHITECTURE behavior OF mux21 IS BEGIN y=d1 WHEN s=1 ELSE d0;END behavior;,4,行为描述类似于高级编程语言,主要是对设计实体的功能或数学模型进行描述,其抽象程度远高于数据流描述和结构描述,其特点如下:,VHDL语言结构体的

3、描述方式,行为描述具有很高的抽象程度,远高于数据流描述和结构描述;行为描述只需描述清楚输入与输出的行为,而与它们的结构无关;描述程序大多采用算术运算、关系运算、惯性延时、传输延时等语句;结构体中的过程语句属于典型的行为描述。,5,即逻辑描述,它利用VHDL语言中的赋值符和逻辑运算符进行描述,既包含逻辑单元的结构信息,又隐含地表示某种行为。,VHDL语言结构体的描述方式,3.2 结构体的数据流描述,例如:y=a NOR b;z=NOT(a XOR b);,/y等于a与b的或非运算/z等于a与b的同或运算,这种方式主要采用非结构化的并行语句描述。,6,【例3.2】将例3.1中的数据选择器采用数据流

4、描述。,VHDL语言结构体的描述方式,逻辑表达式:,程序清单:ENTITY mux21 IS PORT(d1,d0:IN STD_LOGIC;s:IN STD_LOGIC;y:OUT STD_LOGIC);END mux21;ARCHITECTURE dataflow OF mux21 IS SIGNAL tmp1,tmp2,tmp3:STD_LOGIC;BEGIN tmp1=d1 AND s;tmp2=d0 AND(NOT s);tmp3=tmp1 OR tmp2;y=tmp3;END dataflow;,7,结构描述是从设计实体的内部结构对结构体进行描述的,并给出该实体所包含的模块或元件的

5、相互连接关系。这种方式主要采用元件例化(COMPONENT)的形式对设计实体进行描述。可以用不同类型的结构来实现多层次的工程设计,从简单的门电路到复杂的元件来描述整个系统,元件之间的连接通过定义的端口界面来实现。,VHDL语言结构体的描述方式,3.3 结构体的结构描述,8,结构描述建模的步骤如下:,VHDL语言结构体的描述方式,3.3 结构体的结构描述,元件说明:描述局部接口。元件例化:相对于其他元件放置元件。元件配置:指定元件所有的设计实体。,结构描述用于层次化设计,高层次的设计模块调用低层次的设计模块,或直接用门电路来构成一个复杂的逻辑电路。,9,【例3.3】将例3.1中的数据选择器采用结

6、构描述。,VHDL语言结构体的描述方式,程序清单:ENTITY mux21 IS PORT(d1,d0:IN STD_LOGIC;s:IN STD_LOGIC;y:OUT STD_LOGIC);END mux21;ARCHITECTURE structure OF mux21 IS COMPONENT and2 PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;COMPONENT or2 PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;,10,【例3.3】将例3.1中的数据选择器采

7、用结构描述。,VHDL语言结构体的描述方式,程序清单:,COMPONENT not1 PORT(a:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;SIGNAL aa,ab,ns:STD_LOGIC;BEGIN U1:not1 PORT MAP(s,ns);U2:and2 PORT MAP(d1,s,aa);U3:and2 PORT MAP(ns,d0,ab);U4:or2 PORT MAP(aa,ab,y);END structure;,11,混合描述就是在结构体中同时使用多种不同的描述方式,它可以使描述简单灵活。例如,在同一结构体中,分别使用元件例化

8、语句和并行语句,就可以构成由两种描述方式的混合描述。,VHDL语言结构体的描述方式,3.4 结构体的混合描述,12,【例3.4】用混合描述完成半加器的设计。,VHDL语言结构体的描述方式,表达式:sn=anbn cn=anbn,数据流描述 结构描述,程序清单:ENTITY half_adder IS PORT(an,bn:IN STD_LOGIC;sn,cn:OUT STD_LOGIC);END half_adder;ARCHITECTURE mix_ha OF half_adder IS COMPONENT and2 PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;BEGIN sn=an XOR bn;U1:and2 PORT MAP(an,bn,cn);END mix_ha;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号