实验4:环形移位寄存器.ppt

上传人:sccc 文档编号:5534313 上传时间:2023-07-19 格式:PPT 页数:8 大小:856.01KB
返回 下载 相关 举报
实验4:环形移位寄存器.ppt_第1页
第1页 / 共8页
实验4:环形移位寄存器.ppt_第2页
第2页 / 共8页
实验4:环形移位寄存器.ppt_第3页
第3页 / 共8页
实验4:环形移位寄存器.ppt_第4页
第4页 / 共8页
实验4:环形移位寄存器.ppt_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《实验4:环形移位寄存器.ppt》由会员分享,可在线阅读,更多相关《实验4:环形移位寄存器.ppt(8页珍藏版)》请在三一办公上搜索。

1、GEXIN EDAPRO/240H 超级万能实验仪,实验四:环形移位寄存器,一、实验前准备,本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为3.3V;EDAPRO/240H实验仪主板的VCCIO跳线器组中“VCCIO3.3V”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为2.5V;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为3.3V。,二、实验目的,一、实验目的熟

2、悉使用VHDL语言设计时序逻辑电路;体会元件例化语句在层次化设计中的应用。,二、设计任务及要求设计一个8位环形右移移位寄存器;要求数据输入端为DIN,移位时钟为CLK,复位信号为RST,寄存器输出为Q0Q7,Q7兼作移位输出端。,library ieee;use ieee.std_logic_1164.all;entity shift_circuit is port(din:in std_logic_vector(7 downto 0);clk:in std_logic;reset:in std_logic;load:in std_logic;q:out std_logic_vector(7

3、downto 0);end entity shift_circuit;architecture behave of shift_circuit is-signal m:std_logic_vector(7 downto 0);-signal m_bit:std_logic;begin process(clk,reset),-带复位及置数启动能力的环形移位寄存器,variable m:std_logic_vector(7 downto 0);variable m_bit:std_logic;begin if reset=1 then m:=00000000;else if(clkevent and clk=1)then if load=1 then m:=din;else m_bit:=m(7);m(7 downto 1):=m(6 downto 0);m(0):=m_bit;end if;end if;end if;q=m;end process;end architecture behave;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号